CN103855215A - 具有隔离沟道的finfet器件 - Google Patents

具有隔离沟道的finfet器件 Download PDF

Info

Publication number
CN103855215A
CN103855215A CN201310459266.8A CN201310459266A CN103855215A CN 103855215 A CN103855215 A CN 103855215A CN 201310459266 A CN201310459266 A CN 201310459266A CN 103855215 A CN103855215 A CN 103855215A
Authority
CN
China
Prior art keywords
fin
silicon substrate
semi
array
epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310459266.8A
Other languages
English (en)
Other versions
CN103855215B (zh
Inventor
N·劳贝特
P·卡雷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
STMicroelectronics lnc USA
Original Assignee
STMicroelectronics lnc USA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by STMicroelectronics lnc USA filed Critical STMicroelectronics lnc USA
Priority to CN201710302329.7A priority Critical patent/CN107275217A/zh
Publication of CN103855215A publication Critical patent/CN103855215A/zh
Application granted granted Critical
Publication of CN103855215B publication Critical patent/CN103855215B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0638Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for preventing surface leakage due to surface inversion layer, e.g. with channel stopper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本发明为具有隔离沟道的FINFET器件。尽管有FinFET和应变硅器件的改进,晶体管仍然继续随着器件尺度缩减而遭受性能下降。这些性能下降具体包括在半传导沟道与衬底之间的电荷泄漏。隔离沟道FinFET器件通过在沟道(鳍)与衬底之间插入绝缘层来防止沟道到衬底泄漏。绝缘层物理和电隔离鳍与衬底。为了形成隔离FinFET器件,可以在氮化物柱之间从硅表面外延生长双层鳍阵列,这些氮化物柱提供在相邻鳍之间的局部化绝缘。然后可以去除下鳍层而留下上鳍层,因此产生在硅表面上方悬置的氮化物柱和半传导鳍的交错阵列。然后可以用氧化物填充在上鳍层下面的所得间隙以隔离鳍沟道阵列与衬底。

Description

具有隔离沟道的FINFET器件
技术领域
本公开内容涉及制作集成电路晶体管,并且具体地,涉及低泄漏三维FinFET(鳍式场效应晶体管)器件。
背景技术
在数字电路中,晶体管是如下开关,该开关理想地:a)在它关断时传递零电流;b)在它导通时供应大电流流动;并且c)在导通与关断状态之间瞬时切换。遗憾的是,晶体管未如在集成电路中构造的那样理想并且甚至在它关断时也往往泄漏电流。经过器件或者从器件泄漏的电流往往耗尽向器件供应功率的电池。多年以来,通过缩减关键尺度以增加切换速度来提高集成电路晶体管性能。然而随着基于硅的晶体管的尺度继续缩减,维持对包括关断状态泄漏的各种电特性的控制变得越来越有挑战性,而从缩减器件尺度获得的性能益处已经变得不显著。因此一般而言有利的是通过备选手段减少晶体管中的漏电流,这些手段包括改变材料和器件几何形状。
集成电路通常并入如下FET,在这些FET中,电流响应于向栅极施加的电压流过在源极与漏极之间的半传导沟道。在图1A中示出并且以下更具体描述传统平面(2-D)晶体管结构。为了提供对电流流动的更好控制,已经开发有时称为3D晶体管的FinFET晶体管,诸如图1B中所示FinFET晶体管。FinFET是如下电子切换器件,在该电子切换器件中,传统FET的平面半传导沟道替换为垂直于衬底表面向外延伸的半传导鳍。在这样的器件中,控制鳍中的电流流动的栅极在鳍的三侧周围卷包(wrap)以便从三个表面而不是一个表面影响电流流动。用FinFET设计实现的改进的控制造成更快的切换性能和减少的电流泄漏。
英特尔在2011年5月4日的通报中描述这一类型的晶体管,将它称为包括3D晶体管、3-D三栅极晶体管或者FinFET的各种名称。(例如见在http://news.cnet.com/8301-139243-20059431-64.html位于因特网上的、标题为“How Intel’s3D tech redefines the transistor”的文章;也见2009年4月9日公布的、Kavalieros等人的美国公开号2009/0090976;Rakshit等人的美国专利号8,120,073;Rios等人的美国专利号7,973,389;Hareland等人的美国专利号7,456,476;以及Chau等人的美国专利号7,427,794。)
在图2中示出半传导鳍阵列。通常,可以通过在鳍阵列之上保形地沉积公共栅极来形成多个晶体管的阵列。另外,可以通过在鳍阵列之上保形地沉积多个公共栅极来形成多栅极晶体管的阵列。在源极与漏极区域之间具有三个栅极的这样的FinFET阵列称为三栅极晶体管。
在开发FinFET之前,开发了应变硅晶体管以增加对半传导沟道中的电荷载流子的迁移率的控制。向晶体管材料中引入压缩应变往往增加电荷迁移率,从而造成对向栅极施加的电压的改变的更快切换响应。可以例如通过用外延生长的硅化合物替换源极和漏极区域中或者沟道本身中的体硅来引入应变。术语外延指代如下受控晶体生长工艺,在该工艺中从体晶体的表面生长晶体的新外延层而维持下层体晶体的相同晶体结构。
尽管有三维结构和应变硅材料提供的改进,晶体管仍然继续随着器件尺度缩减到1-50纳米的范围内而遭受某些类型的性能下降。这些性能下降具体包括在半传导沟道与衬底之间的电荷泄漏。
发明内容
根据如本文描述的一个实施例,通过在沟道与衬底之间插入绝缘层隔离作为鳍的沟道与衬底来防止FinFET器件中的沟道到衬底泄漏。绝缘层物理和电隔离鳍与衬底,因此防止在鳍与衬底之间的电流泄漏。理论上,在无泄漏时,器件将完全导通或者完全关断。
不同于其中通过沉积和蚀刻鳍材料来形成鳍的常规FinFET制作工艺,本文描述的工艺在阵列中预先布置的绝缘柱之间的空间中从硅表面外延生长鳍阵列。绝缘柱提供在相邻鳍之间的局部化绝缘。
如果鳍包含两种不同材料,则可以容易去除下面的材料而留下上面的材料,因此产生绝缘柱的交错阵列并且使得半传导鳍悬置于硅表面上方。然后如果希望,则可以用氧化物填充在剩余上面的鳍材料下面的所得间隙以更好地支撑鳍并且辅助隔离鳍沟道阵列与衬底。
附图说明
在附图中,相同标号标识相似元件。未必按比例绘制附图中的元件的尺寸和相对位置。
图1A是现有技术平面FET的图解透视图。
图1B是现有技术FinFET的图解透视图。
图2是从实际的扫描电子显微镜(SEM)图像获得的外延生长的半传导鳍阵列的透视图。
图3是示出在形成如本文描述的隔离FinFET时的基本步骤的高级工艺流程图。
图4是示出在形成本文描述的隔离FinFET的工艺中的附加细节的中级工艺流程图。
图5A是示出可以用来限定NMOS和PMOS器件并且形成隔离沟槽的工艺步骤序列的工艺流程图。
图5B是由图5A中所示工艺流程形成的器件轮廓的侧视图,其中已经在硅衬底中蚀刻隔离沟槽。
图6A是示出可以用来形成氮化物柱阵列的又一工艺步骤序列的工艺流程图。
图6B是由图6A中所示工艺流程形成的器件轮廓的侧视图,其中已经填充图5B中所示沟槽并且已经在沟槽之间的有源区域中形成氮化物柱阵列。
图7A图示如下工艺流程图,该工艺流程示出可以用来形成与图6B中所示氮化物柱部分地交错的外延生长的双层鳍阵列的又一工艺步骤序列。
图7B是由图7A中所示工艺流程形成的器件轮廓的侧视图,其中已经建立外延半传导鳍和氮化物柱的部分交错结构。
图8A是如下工艺流程图,该工艺流程示出可以用来形成与图7B中所示半传导鳍的三侧邻接的栅极的又一工艺步骤序列。
图8B是由图8A中所示工艺流程形成的器件轮廓的侧视图,其中在图7B中所示交错结构之上沉积保形栅极和氮化物硬掩模。
图9A、10A和11A是在其中将沟道与衬底隔离的工艺期间在栅极区域中沿着FinFET器件轮廓的线A-A’切割的侧视图。
图9B、10B和11B是在去除牺牲交错结构和形成外延凸起源极/漏极期间在源极/漏极区域中沿着FinFET器件轮廓的线B-B’切割的侧视图。
图9C、10C和11C是如本文描述的两晶体管结构的透视图,这些透视图示出栅极区域(A-A’)和在形成源极/漏极结构时源极/漏极区域轮廓(B-B’)的改变二者。
图10D和11D是工艺流程图,每个工艺流程图分别示出可以用来形成图10A-10C和11A-11C中的结构的更多工艺步骤序列。
具体实施方式
在以下描述中,阐述某些具体细节以便提供对公开的主题内容的各种方面的透彻理解。然而无这些具体细节仍然可以实现公开的主题内容。在一些实例中,尚未具体描述包括本文公开的主题内容的实施例的公知结构和半导体处理方法以免模糊对本公开内容的其它方面的描述。
除非上下文另有要求,贯穿说明书和所附权利要求,字眼“包括(comprise)”及其变化、比如“包括(comprises)”和“包括(comprising)”将在开放、包含意义上加以解释、也就是解释为“包括但不限于”。
贯穿说明书对“一个实施例”或者“一实施例”的引用意味着结合该实施例描述的特定特征、结构或者特性包含于至少一个实施例中。因此,短语“在一个实施例中”或者“在一实施例中”在贯穿说明书的各处的出现未必都指代相同方面。另外,可以在本公开内容的一个或者多个方面中以任何适当方式组合特定特征、结构或者特性。
贯穿说明书对绝缘材料的引用可以包括除了用来举例说明呈现的晶体管器件的具体实施例的材料之外的各种材料。不应狭义地解释术语“外延硅化合物”使外延生长的结构例如限于Si、SiGe或者SiC,但是实际上,广义地解释术语“外延硅化合物”覆盖可以从硅衬底外延生长的任何化合物。
本文参照已经生产的FinFET结构的示例描述具体实施例。FinFET结构也在一些公开物中称为3-D晶体管或者备选地称为三栅极或者多栅极结构。对如本文所用术语FinFET或者鳍式晶体管的使用包括这一类型的所有结构并且含义为如下广义意义,3D晶体管或者三栅极晶体管是该广义含义的子集。本公开内容和对某些材料、尺度以及处理步骤的细节和排序的引用为举例而不应限于所示内容。
在图中,相同标号标识相似特征或者元件。未必按比例绘制图中的特征的尺寸和相对位置。
图1A示出在硅衬底102上构建的常规平面晶体管100。常规平面晶体管的部分包括有源区域104、源极106、漏极108、平面传导沟道110和栅极112。未示出的栅极电介质如本领域熟知的那样电隔离沟道与栅极。有源区域104占用衬底的上层,可以用杂质掺杂该上层以创建具有净负或者净正电荷的阱。在常规平面晶体管100导通时,电流经过平面传导沟道110从源极106流向漏极108。在平面传导沟道中的电流流动通过施加栅极电压由栅极112控制。与栅极电压关联的电场具有如果栅极电压超过某个阈值则接通常规平面晶体管100的效果。如果施加的栅极电压降至阈值电压以下,则常规平面晶体管100关断并且电流停止从源极106流向漏极108。由于栅极112仅能从一侧(即从平面传导沟道110的顶部)影响平面传导沟道110,所以向硅衬底102中的电荷泄漏往往在沟道/衬底结处出现。
图1B示出在硅衬底102上构建的常规FinFET器件150。与图1A中所示器件相似,常规FinFET器件150的部分包括有源区域104、源极152、漏极154、传导鳍沟道156和卷绕(wrap-around)栅极158。常规FinFET器件150的有源区域104可以用杂质来掺杂以创建具有净负或者净正电荷的阱。在常规FinFET器件150导通时,电流在卷绕栅极158的控制之下经过高的(tall)传导鳍沟道156从源极152流向漏极154。施加具有超过某个阈值电压值的值的电压接通常规FinFET器件150。如果施加的电压降至阈值电压值以下,则常规FinFET器件150关断,并且电流停止从源极152流向漏极154。由于卷绕栅极158从三侧影响传导鳍沟道156,所以实现对传导鳍沟道156的传导性质的改进控制。这样的改进控制使从传导鳍沟道156向硅衬底102的电荷泄漏虽然未被消除,但是被减少。由于鳍沟道160的载流容量比平面传导沟道110的载流容量大得多,所以常规FinFET器件150的切换特性也比常规平面晶体管100的切换特性有提高。
图2示出外延生长的半传导鳍的阵列200。可以用22nm或者更小技术构造用于这一类型的晶体管的鳍156。例如鳍156的宽度可以在范围18-22nm内,高度204在范围25-100nm内而优选在范围50-75nm内。在鳍156之间的空间208可以在与鳍的宽度相同的范围内,例如18-22nm。
对于22nm鳍而言,鳍的节距206(即从一个鳍156的中心到下一个鳍156的中心的距离)将一般在范围40-48nm内并且通常是鳍156的宽度的两倍,节距206也是从一个空间208的中心到下一个空间208的中心的距离。因此,对于18nm的鳍宽度,优选36nm的节距206,但是也可以使用在范围30-50nm内的节距。这些总体尺度和更小尺度的鳍156的循环用于如现在将参照图3-11C说明的本发明的各种实施例。随着半导体工艺发展,尺度也可以改变以与可用技术匹配。例如鳍根据希望的设计特性和可用几何形状可以宽度在范围8-20nm内并且具有在范围10-200nm内的高度。
图3是描述制作工艺300中的基本动作的高级流程图,该制作工艺用于被设计用于防止沟道到衬底泄漏的隔离沟道FinFET器件。在302,在硅衬底上形成双层鳍和绝缘柱的交错结构。在304,沉积保形栅极。在306,从源极/漏极区域去除整个交错结构而该结构在栅极区域中保留。在308,在栅极区域中用绝缘体替换双层鳍的下部分,因此电隔离交错结构与衬底。在310,形成外延凸起源极/漏极。
图4是更具体描述用于隔离沟道FinFET器件的制作工艺400的更具体流程图。在402,通过在硅衬底中形成成对的绝缘沟槽来划界有源区域。绝缘沟槽形成在其中将形成FinFET器件的有源区域与邻近区域之间的电屏障。在404,用绝缘材料(例如氧化硅)填充沟槽,并且图案化用来形成沟槽的氮化物硬掩模以形成绝缘柱阵列。在406,通过外延生长各种硅化合物来填充由绝缘柱阵列限定的空间以形成双层鳍沟道阵列。每个双层鳍沟道包括下层和上层。在408,沉积保形栅极。在410,从源极/漏极区域、但是不从栅极区域去除交错结构。在去除工艺410的部分期间,也去除栅极区域中的鳍沟道的下层以创建在衬底与鳍沟道的上层之间的空隙(void)。由于使用这一下层作为暂时占位部分(place holder),所以将它称为牺牲层。在412,用绝缘材料(例如氧化硅)填充该空隙。在414,形成外延凸起源极/漏极。
以下参照图5A-11D,每组图通过呈现更具体工艺步骤序列和在完成该步骤序列时产生的对应侧视图来更具体示出来自图4的工艺步骤之一。
图5A和5B更具体图示步骤402,其中通过在硅衬底中形成成对的绝缘沟槽来划界有源区域。图5A示出包括步骤502、504、506和508的工艺步骤序列,可以执行该工艺步骤序列以形成图5B中所示沟槽结构510。沟槽结构510包括N掺杂衬底520、P掺杂衬底522、沟槽524(示出三个)、焊盘氧化物层530和氮化硅层532。
在502,在硅衬底中形成掺杂阱以有助于形成NMOS和PMOS器件。通常在用具有过量电子的材料(例如V族元素,诸如磷或者砷)掺杂的N阱中形成PMOS器件。通常在用缺乏价电子的材料(例如III族元素,通常为硼)掺杂的P阱中形成NMOS器件。
在504,通过标准技术(例如在高温使硅暴露于富氧环境用于热氧化硅)来生长焊盘氧化物层530。焊盘氧化物层530是用来钝化硅表面的薄绝缘层。去除硅表面上的原生(native)氧化物层可以在生长焊盘氧化物层530之前。
在506,通过标准技术(例如化学气相沉积(CVD)、等离子体气相沉积(PVD)等)沉积氮化硅层532。然后使用常规光刻和蚀刻工艺来图案化氮化硅层532。由于常规光刻为半导体处理领域技术人员所熟知,所以未在图中明示它,但是将简述它。常规光刻需要在光刻胶上自旋、经过图案化的掩模使光刻胶的部分暴露于紫外线并且显影掉光刻胶的未暴露部分,由此向光刻胶传送掩模图案。光刻胶掩模然后可以用来向一个或者多个下面的层中蚀刻掩模图案。通常,如果后续蚀刻相对浅,则使用光刻胶掩模,因为光刻胶可能在蚀刻工艺期间被消耗。
在508,例如使用也称为反应离子蚀刻(RIE)工艺的定向(各向异性)等离子体蚀刻来图案化和蚀刻氮化硅层532。在RIE蚀刻之后,可以使用标准湿化学清理工艺来去除残留光刻胶。然后又可以使用氮化硅层532作为用于在衬底中蚀刻沟槽524的硬掩模。如本领域技术人员熟知的那样,这样的氮化物硬掩模技术在蚀刻需要更长蚀刻时间的深结构时是希望的,因为氮化物硬掩模可以比光刻胶可以的那样更可靠地经受蚀刻工艺。沟槽524向硅衬底中延伸至远低于有源区域以便提供与可以包括其它器件的邻近区域的有效电隔离。在蚀刻沟槽524之后,在适当处留下氮化硅层532。
图6A和6B更具体图示步骤404,在该步骤中用绝缘材料填充沟槽524并且在硅衬底的表面上形成绝缘柱阵列。图6A示出包括步骤602、604、606和608的工艺步骤序列,可以执行该工艺步骤序列以形成图6B中所示柱结构610。柱结610包括填充的沟槽624和绝缘柱632。
在602,例如可以使用标准沉积方法(例如CVD)用绝缘材料(诸如二氧化硅)填充沟槽524。填充的沟槽624可以在硅表面上方延伸至近似氮化硅层532的顶部。
在604,在填充沟槽之后,可以使用氮化硅层532作为抛光停止层来执行化学机械平坦化(CMP)以平坦化填充的沟槽624中的氧化物。这一技术造成氧化物沟槽填充和氮化硅层532处于基本上等效的高度。
在606,使用如以上描述的常规光刻和蚀刻工艺来再次图案化氮化硅层532以产生图6B中所示绝缘柱阵列632。在蚀刻氮化硅绝缘柱632时,焊盘氧化物530可以用作蚀刻停止层。
在608,可以执行外延预清理步骤(例如氢氟酸(HF)清理)以去除在氮化物柱之间的焊盘氧化物530并且预备下面的硅作为用于外延生长的成核表面。
图7A和7B更具体图示步骤406,在该步骤中可以通过外延生长各种硅化合物来填充由绝缘柱阵列632限定的空间。这样的外延生长形成双层鳍710的阵列,其中每个鳍710将选择性地将源极电耦合到漏极。双层鳍710与填充的沟槽624基本上平行地对准。图7A示出包括步骤702、704和706的工艺步骤序列,可以执行该工艺步骤序列以形成图7B中所示交错结构708。交错结构708包括绝缘柱632和双层鳍710,这些双层鳍包括上层712和下层714。
在702,可以通过外延生长半传导材料来形成双层鳍710的下层714。用于下层714的外延硅化合物可以例如是锗化硅(SiGe),其中锗含量在约20%到约60%的范围内。
在704,双层鳍710的上层712希望是外延硅化合物,诸如硅、SiGe或者碳化硅(SiC)。用于双层鳍710的上层712的SiGe可以是与用于下层714的SiGe不同的组成。例如上层712可以包含0至约25%锗。下鳍层714将由相对于上鳍层712选择性地可蚀刻的材料制成。例如纯硅相对于20%-30%锗的SiGe层选择性地可蚀刻。类似地,具有50%Ge的SiGe的下层714相对于在范围0%-25%锗内的SiGe的上层712选择性地可蚀刻。类似地,包含一些碳的上层712(诸如SiC或者SiGeC层)允许选择性地蚀刻不含碳的下层714(诸如Si或者SiGe)。选择外延SiGe和SiC作为组成双层鳍710的材料可以在鳍沟道中产生比通常使用常规方法而产生的应变更多的应变。因此,在提供局部化隔离的成对的绝缘柱之间形成双层鳍710中的每个双层鳍。
在706,在外延生长双层鳍710之后,可以执行氮化物回蚀步骤,在该步骤中,例如使用对氧化物和外延生长的硅化合物二者有选择性的磷酸(H3PO4)氮化物去除工艺来去除绝缘柱阵列632的至少顶部部分。希望回蚀氮化物层至上层717的高度的至少一半,并且在一些情况下沿着上层712的高度仅10%-30%。优选未完全去除氮化物层,因为它将在去除下鳍714时向鳍710提供机械支撑。
图8A和8B更具体图示步骤408,在该步骤中,沉积覆盖在交错结构708上面的保形栅极。图8A示出包括步骤802、804和806的具体工艺步骤序列,可以执行该工艺步骤序列以形成图8B中所示保形栅极结构808。保形栅极结构808包括栅极电介质810、栅极812和氮化硅硬掩模814。
在802,可以在交错结构708上保形地沉积栅极电介质810。栅极电介质810的组成可以是具有约4.0的介电常数的二氧化硅,或者更希望的是,栅极电介质可以是具有在范围约5.0-10.0范围内或者更高的介电常数的高介电常数(高K)材料。这样的高介电常数材料例如包括氧化铪和硅化铪。可以例如使用热生长工艺或者CVD工艺来沉积栅极电介质810。
在804,可以沉积栅极812。形成栅极812的体栅极材料的组成可以包括功函数金属合金,例如氮化钽(TaN)、氮化钛(TiN)或者铝化钛(TiAl)。可以使用常规金属沉积工艺来沉积栅极812,诸如CVD、PVD、电镀工艺或者无电镀工艺。备选地,可以在804沉积常规多晶硅栅极812。栅极电介质810和栅极812一起在双层鳍710周围卷包,使得它们与每个半传导鳍的三侧至少部分邻接。栅极812因此可操作用于响应于施加的电压控制半传导鳍内的电流流动。
在806,可以使用常规沉积方法来沉积氮化硅硬掩模814。氮化硅硬掩模814可以用来掩蔽保形栅极结构808和下面的交错结构708,因此这些结构保持不受既定用于源极和漏极区域的后续处理步骤影响。
图9A、9B和9C更具体图示保形栅极结构808。图9A是图8B的再现,即图9A是如图9C中呈现的透视图900中所示沿着切割线A-A’在栅极区域中的FinFET器件的侧视图。图9B是如图9C中呈现的透视图900中所示沿着切割线B-B’在源极/漏极区域中的FinFET器件的侧视图。由于保形栅极未沉积于源极/漏极区域之上,所以图9B示出在沿着A-A’沉积保形栅极结构808之前在图7B中出现的相同交错结构708。
在图9C、10C和11C中,未在所有位置示出氮化物柱532以免模糊鳍710的层712和714。实际上,仅在最左边示出一个氮化物柱532。图9C、10C和11C也是在放大的间距比例并且示出鳍712和714中的仅两个鳍,同样是为了清楚并且避免遮挡一些特征。
图10A、10B和10C更具体图示步骤410,在该步骤中从源极/漏极区域去除交错结构并且在栅极区域中在每个鳍与衬底之间形成空隙。图10D示出包括步骤1002、1004、1006和1007的工艺步骤序列,可以执行该工艺步骤序列以在保形栅极结构808(图10A)和源极/漏极区域中的无鳍结构1008(图10B)内形成空隙1010来取代下鳍层714。无鳍结构1008的透视图1012在图10C中可见。
在1002,在保形栅极结构808的两侧上沉积常规间隔物。(间隔物未在任一侧视图中出现,因为它落在切割线A-A’和B-B’之间。)这一类型的侧壁间隔物在本领域中是已知的,因此将被理解。
在1004,然后通过掩蔽栅极区域并且蚀刻来仅从源极/漏极区域去除绝缘柱阵列632。备选地,可以使用作为等离子体蚀刻(RIE)工艺的各向异性蚀刻来使用栅极作为蚀刻掩模来去除对氧化物和硅具有高选择性的SiN。
在1006,在源极/漏极区域中去除双层鳍沟道的上层712。可以通过使用定时的各向异性等离子体蚀刻工艺(RIE)来实现去除鳍沟道的上层712。对下层714的选择性不是关键的,因为在源极/漏极区域中的整个交错结构是牺牲性的。
在1007,从源极/漏极区域(图10B)并且也在栅极区域(图10A)去除双层鳍沟道的下层714以在栅极区域中形成空隙1010。空隙1010提供在上层712与衬底之间的绝缘层。可以使用非等离子体化学气相蚀刻工艺来形成空隙1010。这样的工艺基本上各向同性。为了在栅极区域中实现对上层712的希望的选择性,使用的气相化学物可以包括盐酸(HCL)。在这样的工艺中,在栅极区域中对上层712的选择性可以基于包括化学气相蚀刻的温度和压强的因素以及分别基于外延上和下层712和714的相对锗浓度而变化。虽然栅极区域中的双层鳍710的下层714被覆盖,并且因此它们从上方不可访问,但是下层714将被化学气相蚀刻剂横向底切(undercut)而未扰乱包围结构,因为可以调配HCL蚀刻以便对氧化物、氮化物和外延硅化合物的某些组成有选择性。如先前所言,选择用于下层714的化合物以允许从衬底102外延生长以生长层712作为单晶体并且相对于层712选择性地可蚀刻。
图11A、11B、11C和11D更具体图示步骤412和414,在这些步骤中在栅极区域中填充空隙1010并且形成外延凸起源极和漏极。图11D示出包括步骤1102、1104和1106的工艺步骤序列,可以执行该工艺步骤序列以在栅极区域(图11A)和完成的外延凸起源极/漏极结构1109(图11B)中形成完成的鳍结构1108。在图11C中示出完成的隔离沟道FinFET器件的透视图1110。
参照图10A-10B和11A-11B,在1102可以用绝缘材料(例如氧化物1112)填充空隙1010以基本上阻挡在半传导鳍与衬底之间的电荷泄漏。氧化物1112提供比空隙1010更结构稳定的衬底绝缘层。可以通过在高温暴露于氧化环境从硅衬底表面的热生长来形成氧化物1112。
在1104,可以使用对氮化硅硬掩模814有选择性的各向同性、由上至下的定向氧化物蚀刻工艺来蚀刻掉剩余焊盘氧化物530。因此,可以在暴露的源极/漏极区域(图11B)中去除焊盘氧化物530而栅极区域保持不受影响(图11A)。
在1106,可以执行预清理以从硅表面1114去除包括原生氧化物的残留氧化物以预备硅表面1114用于外延生长。
在1107,可以直接在预清理之后从硅表面1114外延生长凸起源极/漏极1116。原始态100晶体结构存在于硅表面1114往往有助于外延生长。有用于外延凸起源极/漏极1116的组成的许多可能选择,例如外延硅、原位掺杂外延SiGe层或者注入外延SiC层以及其它选择。形成凸起源极/漏极结构1109完成隔离沟道FinFET器件。
可以组合以上描述的各种实施例以提供更多实施例。在本说明书中引用的和/或在申请数据表中列举的所有美国专利、美国专利申请公开、美国专利申请、外国专利、外国专利申请和非专利公开通过引用而完全结合于此。可以如为了运用各种专利、申请和公开的概念而必需的那样修改实施例的方面以提供更多实施例。
将理解虽然本文出于示例的目的而描述本公开内容的具体实施例,但是可以进行各种修改而未脱离本公开内容的精神实质和范围。因而,本公开内容除了受所附权利要求限制之外不受限制。
可以按照以上详述的描述对实施例进行这些和其它改变。一般而言,在所附权利要求中,不应解释使用的术语使权利要求限于在说明书和权利要求中公开的具体实施例,但是应当解释这些术语包括所有可能实施例以及这样的权利要求有权具有的等效含义的完全范围。因而,权利要求未受公开内容限制。

Claims (20)

1.一种晶体管,包括:
硅衬底;
外延源极和漏极,与所述硅衬底的表面接触并且形成于有源区域内,所述有源区域位于向所述硅衬底中至少部分延伸的成对的绝缘沟槽之间;
衬底绝缘层,在位于所述源极与所述漏极之间的区域内与所述硅衬底接触;
半传导鳍阵列,定位于所述源极与所述漏极之间,所述阵列与所述沟槽基本上平行对准,所述半传导鳍选择性地电耦合所述源极和所述漏极,而通过所述衬底绝缘层保持与所述硅衬底隔离;
绝缘柱阵列,与所述半传导鳍阵列至少部分交错,所述绝缘柱提供局部化鳍间隔离;以及
保形栅极,覆盖在每个半传导鳍的三侧上面并且与所述三侧至少部分邻接,所述栅极可操作用于响应于施加的电压控制所述半传导鳍内的电流流动。
2.根据权利要求1所述的晶体管,其中通过在所述绝缘柱之间外延生长一个或者多个硅化合物来形成所述半传导鳍。
3.根据权利要求1所述的晶体管,其中所述硅衬底的表面在与所述外延层的界面处具有100晶体结构。
4.根据权利要求1所述的晶体管,其中所述衬底绝缘层被配置为基本上阻挡在所述半传导鳍与所述硅衬底之间的电荷传送。
5.根据权利要求1所述的晶体管,其中所述外延源极和漏极是在所述硅衬底的表面上方延伸的掺杂源极和漏极结构。
6.一种在硅衬底上形成晶体管的方法,所述方法包括:
在所述硅衬底的有源区域内形成至少部分交错结构,所述交错结构包括绝缘柱阵列和半传导鳍阵列;
沉积覆盖在所述半传导鳍上面的保形栅极,所述保形栅极与每个半传导鳍的三侧至少部分邻接,使得向所述栅极操作地施加的电压影响所述半传导鳍内的电流流动;
用绝缘材料替换每个半传导鳍的下部分以电隔离所述半传导鳍的剩余上部分与所述硅衬底;
在所述保形栅极以外去除所述交错结构以暴露所述硅衬底;并且
从所述硅衬底生长外延源极和漏极。
7.根据权利要求6所述的方法,其中所述有源区域通过成对的基本上平行沟槽与邻近区域电绝缘。
8.根据权利要求6所述的方法,还包括在形成所述交错结构之后去除所述绝缘柱的上部分,使得所述半传导鳍阵列在所述绝缘柱阵列的顶表面上方延伸。
9.根据权利要求6所述的方法,其中通过从所述硅衬底外延生长硅化合物来形成所述半传导鳍。
10.一种在硅衬底上形成晶体管的方法,所述方法包括:
在向所述硅衬底中至少部分延伸的成对的沟槽之间划界有源区域;
用氧化物填充所述沟槽以电隔离所述有源区域与邻近区域;
在所述有源区域内形成绝缘柱阵列;
用第一半传导材料和覆盖在所述第一半传导材料上面的第二半传导材料填充在所述绝缘柱之间的空间以创建与所述绝缘柱一起形成至少部分交错结构的鳍阵列;
去除所述绝缘柱的上部分,使得所述鳍阵列在所述绝缘柱的顶表面上方延伸;
沉积覆盖在所述鳍阵列上面的保形栅极,所述保形栅极与每个鳍的三个表面至少部分邻接,使得向所述保形栅极操作地施加的电压影响所述鳍内的电流流动;
从所述鳍去除所述第一半传导材料以创建在所述第二半传导材料与所述硅衬底之间的空隙,所述空隙提供在所述鳍与所述硅衬底之间的物理间隔;
用绝缘体填充所述空隙以便电隔离所述鳍与所述硅衬底;
在所述保形栅极以外去除所述交错结构以暴露所述硅衬底;并且
从所述硅衬底生长外延凸起源极和漏极。
11.根据权利要求10所述的方法,其中所述第一半传导材料是包含在约20%-60%的范围内的锗部分量的外延锗化硅。
12.根据权利要求10所述的方法,其中所述去除所述第一半传导材料使用化学气相蚀刻工艺。
13.根据权利要求10所述的方法,其中所述第二半传导材料是外延硅、外延锗化硅或者外延碳化硅中的一项或者多项。
14.根据权利要求10所述的方法,其中所述保形栅极包括栅极电介质材料和体栅极材料。
15.根据权利要求14所述的方法,其中所述栅极电介质材料具有大于约5.0的介电常数。
16.根据权利要求15所述的方法,其中所述栅极电介质材料是氧化铪。
17.根据权利要求14所述的方法,其中所述体栅极材料是多晶硅。
18.根据权利要求14所述的方法,其中所述体栅极材料是从氮化钽、氮化钛或者铝化钛的组选择的功函数金属。
19.根据权利要求10所述的方法,其中所述绝缘柱由氮化硅制成。
20.根据权利要求10所述的方法,其中所述硅衬底提供100晶体结构以有助于外延生长。
CN201310459266.8A 2012-11-30 2013-09-26 具有隔离沟道的finfet器件 Active CN103855215B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710302329.7A CN107275217A (zh) 2012-11-30 2013-09-26 具有隔离沟道的finfet器件

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/691,070 US8759874B1 (en) 2012-11-30 2012-11-30 FinFET device with isolated channel
US13/691,070 2012-11-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710302329.7A Division CN107275217A (zh) 2012-11-30 2013-09-26 具有隔离沟道的finfet器件

Publications (2)

Publication Number Publication Date
CN103855215A true CN103855215A (zh) 2014-06-11
CN103855215B CN103855215B (zh) 2017-05-10

Family

ID=49667066

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201320609220.5U Expired - Lifetime CN203733804U (zh) 2012-11-30 2013-09-26 晶体管
CN201310459266.8A Active CN103855215B (zh) 2012-11-30 2013-09-26 具有隔离沟道的finfet器件
CN201710302329.7A Pending CN107275217A (zh) 2012-11-30 2013-09-26 具有隔离沟道的finfet器件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201320609220.5U Expired - Lifetime CN203733804U (zh) 2012-11-30 2013-09-26 晶体管

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710302329.7A Pending CN107275217A (zh) 2012-11-30 2013-09-26 具有隔离沟道的finfet器件

Country Status (4)

Country Link
US (1) US8759874B1 (zh)
EP (1) EP2738814A1 (zh)
JP (1) JP2014110430A (zh)
CN (3) CN203733804U (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106098627A (zh) * 2015-04-30 2016-11-09 英飞凌科技股份有限公司 带有完全自对准的发射极-硅的BiMOS器件及其制造方法
CN106158628A (zh) * 2015-03-23 2016-11-23 联华电子股份有限公司 半导体结构及其制作工艺
CN106206576A (zh) * 2015-05-28 2016-12-07 三星电子株式会社 包括应力诱导层的集成电路(ic)器件
CN107275211A (zh) * 2016-04-06 2017-10-20 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN107636837A (zh) * 2015-06-26 2018-01-26 英特尔公司 具有局部化副鳍隔离的高电子迁移率晶体管
CN107785266A (zh) * 2016-08-26 2018-03-09 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
CN109273530A (zh) * 2017-07-17 2019-01-25 台湾积体电路制造股份有限公司 半导体元件结构及其制造方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8595661B2 (en) * 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
US8561003B2 (en) 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US8759874B1 (en) * 2012-11-30 2014-06-24 Stmicroelectronics, Inc. FinFET device with isolated channel
US9793378B2 (en) 2013-05-31 2017-10-17 Stmicroelectronics, Inc. Fin field effect transistor device with reduced overlap capacitance and enhanced mechanical stability
US8952420B1 (en) 2013-07-29 2015-02-10 Stmicroelectronics, Inc. Method to induce strain in 3-D microfabricated structures
US9166023B2 (en) * 2013-08-09 2015-10-20 Stmicroelectronics, Inc. Bulk finFET semiconductor-on-nothing integration
US9099559B2 (en) 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
US9548362B2 (en) 2014-10-10 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. High mobility devices with anti-punch through layers and methods of forming same
US10032912B2 (en) 2014-12-31 2018-07-24 Stmicroelectronics, Inc. Semiconductor integrated structure having an epitaxial SiGe layer extending from silicon-containing regions formed between segments of oxide regions
US9502505B2 (en) * 2014-12-31 2016-11-22 Stmicroelectronics, Inc. Method and structure of making enhanced UTBB FDSOI devices
US10950722B2 (en) 2014-12-31 2021-03-16 Stmicroelectronics, Inc. Vertical gate all-around transistor
JP6426489B2 (ja) * 2015-02-03 2018-11-21 東京エレクトロン株式会社 エッチング方法
US10084080B2 (en) 2015-03-31 2018-09-25 Stmicroelectronics, Inc. Vertical tunneling FinFET
US9385195B1 (en) 2015-03-31 2016-07-05 Stmicroelectronics, Inc. Vertical gate-all-around TFET
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
US9935178B2 (en) 2015-06-11 2018-04-03 International Business Machines Corporation Self-aligned channel-only semiconductor-on-insulator field effect transistor
US9799776B2 (en) 2015-06-15 2017-10-24 Stmicroelectronics, Inc. Semi-floating gate FET
US9548361B1 (en) 2015-06-30 2017-01-17 Stmicroelectronics, Inc. Method of using a sacrificial gate structure to make a metal gate FinFET transistor
US9679899B2 (en) 2015-08-24 2017-06-13 Stmicroelectronics, Inc. Co-integration of tensile silicon and compressive silicon germanium
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US10177143B2 (en) 2015-10-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Limited FinFET device and method for fabricating the same
US9871099B2 (en) 2015-11-09 2018-01-16 International Business Machines Corporation Nanosheet isolation for bulk CMOS non-planar devices
KR102399353B1 (ko) 2016-01-05 2022-05-19 삼성전자주식회사 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
US10181526B2 (en) 2016-06-02 2019-01-15 Samsung Electronics Co., Ltd. Field effect transistor including multiple aspect ratio trapping structures
US9911804B1 (en) * 2016-08-22 2018-03-06 International Business Machines Corporation Vertical fin field effect transistor with air gap spacers
US9882051B1 (en) * 2016-09-15 2018-01-30 Qualcomm Incorporated Fin field effect transistors (FETs) (FinFETs) employing dielectric material layers to apply stress to channel regions

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101763B1 (en) * 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
CN102099902A (zh) * 2008-07-21 2011-06-15 超威半导体公司 带有沟道分隔的鳍状半导体设备生产方法
CN102117829A (zh) * 2009-12-30 2011-07-06 中国科学院微电子研究所 鳍式晶体管结构及其制作方法
CN203733804U (zh) * 2012-11-30 2014-07-23 意法半导体公司 晶体管

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
KR100553683B1 (ko) 2003-05-02 2006-02-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
JP2006049627A (ja) * 2004-08-05 2006-02-16 Toshiba Corp 半導体装置及びその製造方法
US6949768B1 (en) * 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7495290B2 (en) * 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7777275B2 (en) * 2006-05-18 2010-08-17 Macronix International Co., Ltd. Silicon-on-insulator structures
JP4406439B2 (ja) 2007-03-29 2010-01-27 株式会社東芝 半導体装置の製造方法
JP2008288567A (ja) * 2007-04-16 2008-11-27 Toshiba Corp 半導体記憶装置およびその製造方法
US20090020792A1 (en) 2007-07-18 2009-01-22 Rafael Rios Isolated tri-gate transistor fabricated on bulk substrate
US7833889B2 (en) 2008-03-14 2010-11-16 Intel Corporation Apparatus and methods for improving multi-gate device performance
JP4518180B2 (ja) * 2008-04-16 2010-08-04 ソニー株式会社 半導体装置、および、その製造方法
US7700449B2 (en) * 2008-06-20 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Forming ESD diodes and BJTs using FinFET compatible processes
US8120073B2 (en) 2008-12-31 2012-02-21 Intel Corporation Trigate transistor having extended metal gate electrode
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
DE102010029527B4 (de) 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US9105660B2 (en) * 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101763B1 (en) * 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
CN102099902A (zh) * 2008-07-21 2011-06-15 超威半导体公司 带有沟道分隔的鳍状半导体设备生产方法
CN102117829A (zh) * 2009-12-30 2011-07-06 中国科学院微电子研究所 鳍式晶体管结构及其制作方法
CN203733804U (zh) * 2012-11-30 2014-07-23 意法半导体公司 晶体管

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
MALGORZATA JURCZAK.ET AL: "Silicon-on-Nothing(SON)-an Innovative Process for Advanced CMOS", 《IEEE TRANSACTIONS ON ELECTRON DEVICES》, vol. 47, no. 11, 1 November 2000 (2000-11-01), pages 2179 - 2187, XP 011017406 *

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106158628B (zh) * 2015-03-23 2020-10-16 联华电子股份有限公司 半导体结构及其制作工艺
CN106158628A (zh) * 2015-03-23 2016-11-23 联华电子股份有限公司 半导体结构及其制作工艺
US10312159B2 (en) 2015-04-30 2019-06-04 Infineon Technologies Ag BiMOS device with a fully self-aligned emitter-silicon and method for manufacturing the same
CN106098627B (zh) * 2015-04-30 2019-06-14 英飞凌科技股份有限公司 带有完全自对准的发射极-硅的BiMOS器件及其制造方法
CN106098627A (zh) * 2015-04-30 2016-11-09 英飞凌科技股份有限公司 带有完全自对准的发射极-硅的BiMOS器件及其制造方法
CN106206576A (zh) * 2015-05-28 2016-12-07 三星电子株式会社 包括应力诱导层的集成电路(ic)器件
CN106206576B (zh) * 2015-05-28 2021-10-22 三星电子株式会社 包括应力诱导层的集成电路(ic)器件
CN107636837A (zh) * 2015-06-26 2018-01-26 英特尔公司 具有局部化副鳍隔离的高电子迁移率晶体管
CN107636837B (zh) * 2015-06-26 2021-11-30 英特尔公司 具有局部化副鳍隔离的高电子迁移率晶体管
CN107275211A (zh) * 2016-04-06 2017-10-20 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN107785266B (zh) * 2016-08-26 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
CN107785266A (zh) * 2016-08-26 2018-03-09 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
CN109273530A (zh) * 2017-07-17 2019-01-25 台湾积体电路制造股份有限公司 半导体元件结构及其制造方法
CN109273530B (zh) * 2017-07-17 2022-06-14 台湾积体电路制造股份有限公司 半导体元件结构及其制造方法

Also Published As

Publication number Publication date
US8759874B1 (en) 2014-06-24
JP2014110430A (ja) 2014-06-12
CN107275217A (zh) 2017-10-20
CN203733804U (zh) 2014-07-23
EP2738814A1 (en) 2014-06-04
CN103855215B (zh) 2017-05-10
US20140151746A1 (en) 2014-06-05

Similar Documents

Publication Publication Date Title
CN203733804U (zh) 晶体管
CN103887172B (zh) 全衬底隔离finfet晶体管
US10062714B2 (en) FinFET device having a high germanium content fin structure and method of making same
US9362285B2 (en) Structure and method to increase contact area in unmerged EPI integration for CMOS FinFETs
US9508851B2 (en) Formation of bulk SiGe fin with dielectric isolation by anodization
US9224840B2 (en) Replacement gate FinFET structures with high mobility channel
TWI541874B (zh) 在塊體基底上形成之自動對準多閘極電晶體
US10002921B2 (en) Nanowire semiconductor device including lateral-etch barrier region
US10388571B2 (en) Fin type field effect transistors with different pitches and substantially uniform fin reveal
US11664420B2 (en) Semiconductor device and method
US20140001561A1 (en) Cmos devices having strain source/drain regions and low contact resistance
TW201944538A (zh) 半導體裝置的形成方法
CN105280707A (zh) 半导体结构及其制造方法
TWI643339B (zh) 半導體結構及其形成方法
US10096694B2 (en) Process for fabricating a vertical-channel nanolayer transistor
US9793378B2 (en) Fin field effect transistor device with reduced overlap capacitance and enhanced mechanical stability
US10269944B2 (en) Fin semiconductor device and method of manufacture with source/drain regions having opposite conductivities
US9953976B2 (en) Effective device formation for advanced technology nodes with aggressive fin-pitch scaling
KR102400361B1 (ko) 반도체 소자 및 그 제조 방법
TWI642192B (zh) 具有鰭狀結構的半導體裝置的製造方法
CN103681329B (zh) 半导体器件及其制造方法
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
TW202245065A (zh) 半導體裝置
TW201719770A (zh) 鰭式場效應電晶體的製造方法
CN105489493A (zh) 半导体器件制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant