CN103545290A - 半导体器件的反熔丝、其制造方法以及半导体组件和系统 - Google Patents

半导体器件的反熔丝、其制造方法以及半导体组件和系统 Download PDF

Info

Publication number
CN103545290A
CN103545290A CN201310206394.1A CN201310206394A CN103545290A CN 103545290 A CN103545290 A CN 103545290A CN 201310206394 A CN201310206394 A CN 201310206394A CN 103545290 A CN103545290 A CN 103545290A
Authority
CN
China
Prior art keywords
junction
active cylinder
active
line
active area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310206394.1A
Other languages
English (en)
Other versions
CN103545290B (zh
Inventor
李殷星
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN103545290A publication Critical patent/CN103545290A/zh
Application granted granted Critical
Publication of CN103545290B publication Critical patent/CN103545290B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/14Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM
    • G11C17/16Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM using electrically-fusible links
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/785Masking faults in memories by using spares or by reconfiguring using programmable devices with redundancy programming schemes
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/785Masking faults in memories by using spares or by reconfiguring using programmable devices with redundancy programming schemes
    • G11C29/787Masking faults in memories by using spares or by reconfiguring using programmable devices with redundancy programming schemes using a fuse hierarchy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5252Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开一种半导体器件的反熔丝、其制造方法以及半导体组件和系统。该反熔丝基于场氮化物阱(FNT)且包括:第一有源柱体,其包括第一接面;第二有源柱体,其包括第二接面;选择线,其埋入在第一有源柱体与第二有源柱体之间;以及阱层,其根据施加至所述第一接面、所述第二接面和所述选择线的各个电压,捕获少数载流子,从而将所述第一接面和所述第二接面电连接起来。结果,可以利用上述结构来高度地集成熔丝,并可以容易地实现熔丝的编程。

Description

半导体器件的反熔丝、其制造方法以及半导体组件和系统
技术领域
本发明的实施例涉及半导体器件的反熔丝,更具体地说,涉及采用场氮化物阱(FNT)的反熔丝。
背景技术
当半导体器件中的至少一个单位单元在制造工序中出现缺陷或故障时,不能将半导体器件用作存储器件。具有至少一个故障单位单元的存储器件被归类为有缺陷的产品,并导致生产效率降低。因此,已开发出用冗余单元来替换有缺陷的单元以修复存储器件中的有缺陷的单元的技术。
例如,如果在制造出存储器件之后的试验操作中检测出有缺陷的单元,则在存储器件的内部电路中执行编程操作(programoperation),在输入用来访问有缺陷的单元的地址的情况下该编程操作访问冗余单元。因此,如果与有缺陷的线路(其用于选择有缺陷的单元)相对应的地址信号输入至存储器件,则访问用于选择冗余单元的冗余线路,而不是有缺陷的线路。
典型的修复工序被设计成切断或熔断熔丝。然而,由于通过熔断熔丝来修复半导体器件的方法是在晶片级执行修复工序,所以不能应用于已封装的半导体器件。另外,随着半导体器件的集成度逐渐提高,用于切断熔丝的激光束的光斑尺寸可能大于熔丝的节距,因而不能利用切断熔丝来执行修复工序。因此,已提出了采用反熔丝的新方法来克服上述修复方法的限制。
采用反熔丝的方法可以执行如下编程操作,该编程操作能够容易地修复已封装的存储器件中的有缺陷的单元。反熔丝起初具有高电阻并设计成通常在整个反熔丝上的电压超过某个值时形成导电路径;然而,熔丝起初具有低电阻并设计成通常在流经导电路径的电流超过特定极限值时切断导电路径。通常,反熔丝由位于两个导电体之间的、不导电的非晶态材料形成的非常薄的介电层形成。
根据反熔丝的编程操作,在足够长的时间段里向反熔丝施加预定电压,以击穿(break down)位于两个导电体之间的介电层,从而编程反熔丝。因此,反熔丝在基础状态下被电启动。如果刚接收到高电压就编程反熔丝,则发生电短路。
然而,随着半导体器件高度地集成化,反熔丝也高度集成化。
发明内容
本发明旨在提供一种如下的半导体器件的反熔丝、其制造方法以及包括该半导体器件的半导体组件和系统:其基本解决了由于现有技术的限制和缺点而导致的一个或多个问题。
本发明的实施例涉及一种容易形成高度集成的熔丝阵列的反熔丝。
根据本发明的一个实施例,一种半导体器件的反熔丝包括:第一有源柱体,其包括第一接面;第二有源柱体,其包括第二接面;选择线,其设置在所述第一有源柱体与所述第二有源柱体之间;以及阱(trap)层,其根据施加至所述第一接面、所述第二接面和所述选择线的各个电压,捕获少数载流子,从而将所述第一接面和所述第二接面电连接起来。
所述反熔丝还可以包括:第一信号线,其与所述第一接面相连,以向所述第一有源柱体施加第一电压;以及第二信号线,其与所述第二接面相连,以向所述第二有源柱体施加第二电压。
所述反熔丝还可以包括:第一接触插塞,其连接在所述第一信号线与所述第一接面之间;以及第二接触插塞,其连接在所述第二信号线与所述第二接面之间。
所述第一信号线及所述第二信号线可以分别与所述第一接面及所述第二接面直接相连。
所述第一信号线和所述第二信号线可以设置成与所述选择线垂直。
P+杂质分别注入至所述第一有源柱体和所述第二有源柱体中,以形成所述第一接面和所述第二接面。
所述第一接面的下部和所述第二接面的下部可以构造成与所述选择线在竖向上重叠。
所述阱层可以包括氮化物膜。
所述少数载流子可以是电子。
根据本发明的另一个实施例,一种半导体器件的反熔丝包括:第一有源柱体至第四有源柱体,其包括位于上部的相应的接面;第一选择线,其设置在所述第一有源柱体与所述第二有源柱体之间的空间的下部;第二选择线,其设置在所述第二有源柱体与所述第三有源柱体之间的空间的下部,并设置在所述第二有源柱体与所述第四有源柱体之间的空间的下部;第一信号线,其与所述第一有源柱体的接面及所述第四有源柱体的接面共同相连;第二信号线,其与所述第二有源柱体的接面相连;第三信号线,其与所述第三有源柱体的接面相连;以及阱层,其根据施加至所述第一信号线至所述第三信号线、所述第一选择线和所述第二选择线的各个电压,捕获少数载流子,从而将所述第一有源柱体至所述第四有源柱体电连接起来,其中,所述阱层设置在所述第一选择线及所述第二选择线每一者与所述第一有源柱体至所述第四有源柱体中相应的有源柱体之间。
所述第一信号线至所述第三信号线可以设置成与所述第一选择线及所述第二选择线中每一者垂直。
各个接面均可以掺有P+杂质。
各个接面的下部均可以构造成与所述第一选择线和所述第二选择线在竖向上重叠。
所述阱层可以包括氮化物膜。
所述少数载流子可以是电子。
根据本发明的另一个实施例,一种半导体器件的反熔丝包括:第一有源柱体,其上方形成有第一接面;第二有源柱体,其上方形成有第二接面;选择线,其埋入在所述第一有源柱体与所述第二有源柱体之间;绝缘膜,其构造成使得所述第一接面和所述第二接面互连,并位于所述选择线、所述第一有源柱体和所述第二有源柱体之间;选择线,其埋入在所述第一有源主体与所述第二有源主体之间;第一信号线,其与所述第一接面相连;以及第二信号线,其与所述第二接面相连。
根据本发明的另一个实施例,一种形成半导体器件的反熔丝的方法包括:通过蚀刻半导体基板,形成第一有源区和第二有源区;在所述第一有源区的侧壁和所述第二有源区的侧壁上、以及所述第一有源区与所述第二有源区之间的空间的底部形成阱层;形成器件隔离膜以限定所述第一有源区和所述第二有源区,所述器件隔离膜填充所述第一有源区和所述第二有源区周围的空间;通过蚀刻所述器件隔离膜的设置在所述第一有源区与所述第二有源区之间的一部分,形成沟槽;通过用导电材料填充所述沟槽的下部,形成选择线;以及形成第一信号线和第二信号线,所述第一信号线和所述第二信号线设置成与所述选择线垂直并且分别与所述第一有源区及所述第二有源区相连。
形成所述第一信号线和所述第二信号线的步骤可以包括:在包括所述选择线在内的所得结构上形成层间绝缘膜;通过蚀刻所述层间绝缘膜使所述第一有源区的顶面和所述第二有源区的顶面露出,来形成第一接触孔和第二接触孔;分别在所述第一接触孔和所述第二接触孔中形成第一接触插塞和第二接触插塞;以及形成分别与所述第一接触插塞及所述第二接触插塞相连的第一导电线和第二导电线,所述第一导电线及所述第二导电线分别与所述第一信号线及所述第二信号线相对应。
形成所述第一信号线和所述第二信号线的步骤可以包括:在所述第一有源区、所述第二有源区和所述器件隔离膜上形成层间绝缘膜;通过蚀刻所述层间绝缘膜,形成第一沟槽和第二沟槽,所述第一沟槽和所述第二沟槽构造成分别使所述第一有源区和所述第二有源区露出;以及形成导电线来填充所述第一沟槽和所述第二沟槽。
形成所述阱层的步骤可以包括:向所述第一信号线和所述第二信号线施加不同的电压;以及向所述选择线施加与向所述第一信号线施加的电压相等或比向所述第一信号线施加的电压低的电压。
形成所述阱层的步骤可以包括:向所述第一信号线施加VPP电压,向所述第二信号线施加VBB电压,并向所述选择线施加VPP电压或VDD电压。
应该理解的是,本发明的以上概括描述和以下详细描述都是示例性的和解释性的,并且旨在提供权利要求书所要求的本发明的更详细的解释。
附图说明
图1示出根据本发明的第一实施例的反熔丝的平面图。
图2示出沿着图1中的线A-A’截取的反熔丝的剖视图。
图3A至图3F示出形成图2中的反熔丝的方法。
图4示出根据本发明的第二实施例的反熔丝的平面图。
图5示出沿着图4中的线A-A’截取的反熔丝的剖视图。
图6A和图6B示出形成图5中的反熔丝的方法。
图7示出根据本发明的实施例的半导体组件的电路图。
图8示出根据本发明的实施例的半导体系统的电路图。
图9示出根据本发明的实施例的计算机系统的框图。
图10示出根据本发明的实施例的数据处理系统的框图。
图11示出根据本发明的一个实施例的电子系统的框图。
具体实施方式
下面参考附图所示的实例来详细描述本发明的实施例。在附图中尽量用相同的附图标记表示相同或相似的部分。
图1示出根据本发明的第一实施例的反熔丝(anti-fuse)的平面图。图2示出沿着图1中的线A-A’截取的反熔丝的剖视图。
参考图1和图2,反熔丝包括多个岛型有源区112a至112e,有源区112a至112e从半导体基板110竖直地突起并以Z字形的方式布置。也就是说,每个有源区112a至112e均形成从半导体基板110突起的柱体。线型选择线122至126在有源区112a至112e之间沿着第一方向布置,并形成为埋入器件隔离膜(未示出)中。线型信号线142至146沿着与第一方向垂直的第二方向布置。
每条信号线142至146经由相应的接触插塞130与有源区112a至112e相连,并且信号线142至146经由有源区112a至112e而共同与半导体基板110相连。
选择线122不仅形成在彼此斜向邻近的有源区112a与有源区112b之间,而且形成在彼此斜向邻近的有源区112b与有源区112e之间。选择线124不仅形成在彼此斜向邻近的有源区112b与有源区112c之间,而且形成在彼此斜向邻近的有源区112b与有源区112d之间。信号线142经由相应的接触插塞而分别与有源区112a及有源区112d的接面(junction,又称为结)相连。信号线144经由相应的接触插塞与有源区112b的接面相连。信号线146经由相应的接触插塞而分别与有源区112c及有源区112e的接面相连。在有源区112a至112e每者的与接触插塞130相连的上部均形成注入了P+杂质的接面。氧化物膜114和氮化物膜116不仅形成在有源区112a至112e的侧壁上,而且沿着有源区112a至112e形成在半导体基板110的一部分上。氧化物膜114可以形成为具有大约
Figure BDA00003267890300061
的厚度,氮化物膜116可以形成为具有大约
Figure BDA00003267890300063
Figure BDA00003267890300064
的厚度。P+接面形成为具有预定深度。P+接面的底部可以低于选择线122至126的顶面。也就是说,P+接面的下部可以与选择线122至126在竖向上重叠。
氮化物膜116形成为导电路径(即,阱层(捕获层)),从而电流可以在相应的有源区(例如,有源区112b与有源区112d)之间流动。这里,导电路径(阱层)构造成:在图1中的有源区112b和112d之间的特定位置,根据不仅施加给选择线122至124而且施加给其它信号线142至146的编程电源的值,选择性地捕获用作少数载流子的电子(e-)。
例如,向信号线144施加例如3V的供电电压VPP,并向信号线142施加例如-0.8V的供电电压VBB,从而信号线144与信号线142之间存在电压差。在与信号线144及信号线142相连的P+接面之间有电流流动的条件下,向选择线124施加例如1.5V的供电电压VPP或VDD。在另一种情况下,向信号线144施加供电电压VDD,并向信号线142施加供电电压VBB,使信号线144与信号线142之间存在电压差。因此,在与信号线144及信号线142相连的P+接面之间有电流流动的条件下,向选择线124施加供电电压VDD或VSS(<GND)。如图1和图2所示,在位于选择线124的两侧的有源区112b、112c和112d之中,电子(e-)被捕获到分别与信号线144及信号线142相连的有源区112b和有源区112d之间的氮化物膜116中,从而可以形成允许电流流动的阱层150。
在本发明的实施例中,使用半导体器件的内部供电电压VPP、VDD、VBB和VSS来执行熔丝编程,而不对半导体器件应用外部电源。
图3A至图3F示出形成图2中的反熔丝的方法。
参考图3A,使用器件隔离掩模图案作为蚀刻掩模来蚀刻半导体基板200,以形成岛型有源区。结果,形成器件隔离沟槽210,从而有源区(有源柱体)212形成为从半导体基板200的被蚀刻部分突起的岛型区域。
然后,在包括器件隔离沟槽210在内的半导体基板200的整个表面上形成氧化物膜214。在一个实施例中,通过利用热处理或退火工序使包括器件隔离沟槽210在内的半导体基板200氧化,来形成氧化物膜214。氧化物膜214可以具有大约
Figure BDA00003267890300071
Figure BDA00003267890300072
的厚度。
然后,在氧化物膜214上形成衬垫氮化物膜216。在一个实施例中,衬垫氮化物膜216形成为具有大约
Figure BDA00003267890300073
Figure BDA00003267890300074
的厚度。衬垫氮化物膜216可以用作用于捕获作为少数载流子的电子(e-)的阱层。
参考图3B,形成器件隔离膜218来填充器件隔离沟槽210。这里,器件隔离膜218可以包括例如氧化物膜等绝缘膜。
如果器件隔离膜218由氮化物膜形成,则在后续的选择线形成工序中蚀刻器件隔离膜218时,器件隔离膜218在氧化物膜214上方保留预定厚度,以便形成阱层。
参考图3C,在有源区212和器件隔离膜218上形成限定选择线区域的选择线掩模图案(未示出)。也就是说,从图3C中的平面图(ii)中可以看出,选择线掩模图案形成为使器件隔离膜218露出,器件隔离膜218沿着第一方向呈线型地设置在有源区212之间。
然后,使用选择线掩模图案作为蚀刻掩模来蚀刻器件隔离膜218,从而形成线型沟槽220。形成导电材料以填充沟槽220,并随后进行回蚀,从而在沟槽220的下部形成具有预定厚度的选择线222,如图3C中的剖视图(i)所示。这里,选择线222可以由阻挡金属层和金属层的叠层结构形成。更具体地说,在沟槽220的内表面上沉积包括钛(Ti)或氮化钛(TiN)的阻挡金属层,并在阻挡金属层上沉积包括钨(W)或氮化钨(WN)的金属层,以填充沟槽220。然后,对阻挡金属层和金属层进行回蚀,从而在沟槽220的下部形成选择线222。
参考图3D,通过在图3C中的所得结构上沉积氮化物膜并利用机械化学抛光(CMP)工序将氮化物膜平坦化直到有源区212的顶面露出为止,在选择线222上形成覆盖氮化物膜224。在一个实施例中,将有源区212的顶面氧化,从而可以形成氧化物膜(未示出)。
然后,向有源区212中注入例如BF2、B2H6、B+或BF3等P+杂质,从而在有源区212的上部形成P+接面226。在一个实施例中,P+接面226形成为具有比覆盖氮化物膜224的厚度大的厚度。结果,P+接面226的下部可以与选择线222在竖向上重叠。
参考图3E,在有源区212和覆盖氮化物膜224上形成层间绝缘膜228。然后,形成接触孔230,以使有源区212的P+接面226的顶面露出。
然后,例如,通过在包括接触孔230在内的所得结构上沉积导电材料并将导电材料层平坦化直到层间绝缘膜228露出为止,形成填充接触孔230的接触插塞232。在一个实施例中,接触插塞232包括金属插塞。上述平坦化工序可以包括CMP工序。
参考图3F,在层间绝缘膜228和接触插塞232上依次沉积导电层(未示出)和硬掩模层(未示出)。在一个实施例中,导电层包括例如Ti/TiN或W等金属材料,硬掩模层包括氮化物膜。
然后,将导电层和硬掩模层图案化,从而形成与接触插塞232相连的线型信号线234和硬掩模图案236。与图1中的信号线142至146类似,线型信号线234和硬掩模图案236形成为与选择线222垂直。
然后,在层间绝缘膜228、信号线234和硬掩模图案236上沉积间隔物绝缘膜(未示出),并随后进行回蚀,从而在信号线234和硬掩模图案236的侧壁上形成间隔物238。然后,在层间绝缘膜228、间隔物238和硬掩模图案236上形成层间绝缘膜240,并随后将层间绝缘膜240平坦化直到硬掩模图案236露出为止。层间绝缘膜240填充设置在两个相邻信号线234之间的空间以及设置在两个相邻硬掩模图案236之间的空间。
图4示出根据本发明的第二实施例的反熔丝的平面图。图5示出沿着图4中的线A-A’截取的反熔丝的剖视图。在图4和图5中,为了便于描述,以相同的附图标记表示与图1和图2中的元件相同的元件,并将省略对它们的详细描述。
与第一实施例不同的是,第二实施例中的信号线162至166与有源区112接触。
也就是说,尽管第一实施例中的信号线142至146经由接触插塞130与有源区112相连,但第二实施例中的信号线162至166与有源区112直接接触。
图6A和图6B示出形成图5中的反熔丝的方法。在图6A和图6B中,为了便于描述,以相同的附图标记表示与图3A至图3D中的元件相同的元件,并将省略对它们的详细描述。
与图3A至图3D中相同,在有源区212之间形成选择线222,选择线222沿着第一方向布置成线型;并且在有源区212的上部形成P+接面226。
参考图6A,在有源区212的P+接面226和覆盖氮化物膜224上形成层间绝缘膜228。
使用常规的光刻工序在层间绝缘膜228上形成限定图4中的信号线162至166的掩模图案(未示出)。然后,使用该掩模图案作为蚀刻掩模来蚀刻层间绝缘膜228,直到P+接面226的顶面露出为止,从而形成与选择线222垂直的线型沟槽242。
参考图6B,在形成填充沟槽242的导电层(未示出)之后,将导电层平坦化,直到层间绝缘膜228露出为止,从而形成埋入层间绝缘膜228中的信号线244。也就是说,使用镶嵌工艺(damasceneprocess)在层间绝缘膜228中形成信号线244,使信号线244与有源区212的P+接面226接触。
从第一实施例和第二实施例中可以看出,如果形成了反熔丝,则向信号线和选择线施加编程供电电压,以使电子被捕获到相邻的有源区之间的阱层中。
在图1和图2中的结构中,向与有源区112a、112b及112d相连的信号线142和144施加不同的供电电压,由此在信号线142与信号线144之间形成阱层150,从而使电流在与信号线142及信号线144相连的P+接面之间流动。在一个实施例中,将空穴用作多数载流子,并将电子用作少数载流子。在一个实施例中,如果向所述选择线124施加与向所述第一信号线施加的电压相等或比向所述第一信号线施加的电压低的电压,则用作少数载流子的电子被捕获到形成在相应的有源区112b与有源层112d之间的阱层(氮化物膜)150中。
图7示出根据本发明的实施例的半导体组件的电路图。
参考图7,半导体组件300包括:多个半导体器件320,其安装在组件基板310上;指令链路330,其允许各个半导体器件320从外部控制器(未示出)接收控制信号(例如,地址信号ADDR、指令信号CMD和时钟信号CLK);以及数据链路340,其与每个半导体器件320相连,以便发送输入/输出(I/O)数据。
在一个实施例中,半导体器件320可以包括熔丝,熔丝用于修复半导体器件内单元阵列中的缺陷。熔丝可以包括图1或图4所示的反熔丝。
指令链路330及数据链路340可以与常规的半导体组件的指令链路及数据链路相同或相似。
尽管在图7所示的组件基板310的正面示例性地安装了八个半导体器件320,但应该注意的是,半导体器件320也可以安装在组件基板310的背面。也就是说,半导体器件320可以安装在组件基板310的一侧或两侧,并且所安装的半导体器件320的数量不限于图7所示的实例。另外,组件基板310的材料和结构不限于此。
图8示出根据本发明的实施例的半导体系统的电路图。
参考图8,半导体系统400包括:至少一个半导体组件410,其包括多个半导体器件412;以及控制器420,其提供各个半导体组件410与外部系统(未示出)之间的双向接口,以控制半导体组件410的操作。
控制器420可以在功能上与用于控制常规数据处理系统中的多个半导体组件的操作的控制器相同或相似,因此这里将省略对控制器420的详细描述,以便于描述。
在一个实施例中,半导体组件410包括图7所示的半导体组件300。
图9示出根据本发明的实施例的计算机系统的框图。
参考图9,计算机系统500包括半导体系统510和处理器520,处理器520例如是中央处理单元(CPU)。
半导体系统510存储用于控制计算机系统500所需要的数据。在一个实施例中,半导体系统510包括图8所示的半导体系统400。
处理器520通过对存储在半导体系统510中的数据进行处理来控制计算机系统500。处理器520可以在功能上与常规计算机系统中的CPU相同或相似。
计算机系统500还可以包括多种用户接口器件,例如,监视器532、键盘534、打印机536、鼠标538等。
图10示出根据本发明的实施例的数据处理系统的框图。
参考图10,数据处理系统600安装在电子系统(未示出)中,以便执行电子系统的多种功能。
数据处理系统600可以包括安装在基板上的至少一个半导体器件610。
半导体器件610包括:单元阵列(未示出),其存储用于执行电子系统的特定功能所需要的数据;以及处理器(未示出),其通过对存储在单元阵列中的数据进行处理来执行相应的特定功能。也就是说,半导体器件610包括将数据存储在一个单位元件(晶粒或芯片)中的单元、以及通过对所存储的数据进行处理来执行特定功能的单元。半导体器件610可以包括用于修复单元阵列的缺陷的熔丝。在一个实施例中,熔丝可以包括图1或图4所示的反熔丝。
数据处理系统600经由引线620与电子系统的其它构成元件(例如,CPU)相连,从而数据处理系统600可以单向地或双向地向相连的构成元件发送数据和控制信号并且从相连的构成元件接收数据和控制信号。
图11示出根据本发明的实施例的电子系统的框图。
参考图11,电子系统700包括至少一个数据处理系统710以及用户接口720。
数据处理系统710执行电子系统700的多种功能,并包括安装在基板上的至少一个半导体器件。半导体器件包括:单元阵列(未示出),其存储用于执行电子系统700的特定功能所需要的数据;以及处理器(未示出),其通过对存储在单元阵列中的数据进行处理来控制相应的功能。在一个实施例中,数据处理系统710包括图10中的数据处理系统。
用户接口(UI)720提供用户与数据处理系统710之间的接口。用户接口720可以包括结合至电子系统700的键盘、触控屏幕、扬声器等。
电子系统700可以包括设置于各种电子装置、信息装置及通信装置(诸如,计算机、家用电器、工厂自动化系统、升降机及移动电话等)中的各种嵌入式系统。
从以上描述中可以看出,根据本发明的实施例,可以容易地形成能够高度集成的熔丝或熔丝阵列,从而可以仅使用内部电源而不使用外部电源来实现熔丝的编程。
本领域的技术人员将意识到,在不脱离本发明的精神和本质特征的情况下,可以以与本文所给出的具体方式不同的方式来实施本发明。因此,就所有方面而言,应该认为上述实施例是示例性的,而不是限制性的。本发明的范围应该由权利要求书及其等同内容来确定,而不是由上述描述来确定,并且本文意图涵盖落入所附权利要求书的含义和等同范围内的全部修改。另外,对本领域的技术人员而言显而易见的是,在从属权利要求中未彼此明确地引用的权利要求可以作为本发明的示例性实施例相组合,或者在本申请提交之后的后续修改中作为新的权利要求被包括进来。
本发明的上述实施例是示例性的而非限制性的。各种替代及等同的方式都是可行的。本发明并不限于本文中所描述的沉积、蚀刻、抛光以及图案化步骤的类型。本发明也不限于任何特定类型的半导体器件。举例而言,本发明可应用于动态随机存取存储(DRAM)器件或非易失性存储器件。对本发明内容所作的其它增加、删减或修改是显而易见的并且落入所附权利要求书的范围内。
本申请要求2012年7月16日提交的韩国专利申请No.10-2012-0077260的优先权,该韩国专利申请的全部内容以引用的方式并入本文。

Claims (10)

1.一种半导体器件的反熔丝,包括:
第一有源柱体,其包括第一接面;
第二有源柱体,其包括第二接面;
选择线,其设置在所述第一有源柱体与所述第二有源柱体之间;以及
阱层,其根据施加至所述第一接面、所述第二接面和所述选择线的各个电压,捕获少数载流子,从而将所述第一接面和所述第二接面电连接起来。
2.根据权利要求1所述的反熔丝,其中,
所述阱层设置在所述选择线与所述第一有源柱体之间且在所述选择线与所述第二有源柱体之间。
3.一种半导体器件的反熔丝,包括:
第一有源柱体至第四有源柱体,其包括位于上部的相应的接面;
第一选择线,其设置在所述第一有源柱体与所述第二有源柱体之间的空间的下部;
第二选择线,其设置在所述第二有源柱体与所述第三有源柱体之间的空间的下部,并设置在所述第二有源柱体与所述第四有源柱体之间的空间的下部;
第一信号线,其与所述第一有源柱体的接面及所述第四有源柱体的接面共同相连,
第二信号线,其与所述第二有源柱体的接面相连;
第三信号线,其与所述第三有源柱体的接面相连;以及
阱层,其根据施加至所述第一信号线至所述第三信号线、所述第一选择线和所述第二选择线的各个电压,捕获少数载流子,从而将所述第一有源柱体至所述第四有源柱体电连接起来,
其中,所述阱层设置在所述第一选择线及所述第二选择线每一者与所述第一有源柱体至所述第四有源柱体中相应的有源柱体之间。
4.根据权利要求3所述的反熔丝,其中,
P+杂质注入到所述第一有源柱体至所述第四有源柱体每一者中,以形成各个接面。
5.一种形成半导体器件的反熔丝的方法,包括:
通过蚀刻半导体基板,形成第一有源区和第二有源区;
在所述第一有源区的侧壁和所述第二有源区的侧壁上、以及所述第一有源区与所述第二有源区之间的空间的底部形成阱层;
形成器件隔离膜以限定所述第一有源区和所述第二有源区,所述器件隔离膜填充所述第一有源区和所述第二有源区周围的空间;
通过蚀刻所述器件隔离膜的设置在所述第一有源区与所述第二有源区之间的一部分,形成沟槽;
通过用导电材料填充所述沟槽的下部,形成选择线;以及
形成第一信号线和第二信号线,所述第一信号线和所述第二信号线设置成与所述选择线垂直并且分别与所述第一有源区及所述第二有源区相连。
6.根据权利要求5所述的方法,其中,
形成所述第一信号线和所述第二信号线的步骤包括:
在包括所述选择线在内的所得结构上形成层间绝缘膜;
通过蚀刻所述层间绝缘膜使所述第一有源区的顶面和所述第二有源区的顶面露出,来形成第一接触孔和第二接触孔;
分别在所述第一接触孔和所述第二接触孔中形成第一接触插塞和第二接触插塞;以及
形成分别与所述第一接触插塞及所述第二接触插塞相连的第一导电线和第二导电线,所述第一导电线及所述第二导电线分别与所述第一信号线及所述第二信号线相对应。
7.根据权利要求5所述的方法,其中,
形成所述第一信号线和所述第二信号线的步骤包括:
在包括所述选择线在内的所得结构上形成层间绝缘膜;
通过蚀刻所述层间绝缘膜,形成第一沟槽和第二沟槽,所述第一沟槽和所述第二沟槽构造成分别使所述第一有源区的顶面和所述第二有源区的顶面露出;以及
形成第一导电线和第二导电线,以分别填充所述第一沟槽和所述第二沟槽,
其中,所述第一导电线及所述第二导电线分别与所述第一信号线及所述第二信号线相对应。
8.根据权利要求5所述的方法,其中,
通过以下步骤使所述阱层包括被捕获到内部的少数载流子:
向所述第一信号线和所述第二信号线施加不同的电压;以及
向所述选择线施加与向所述第一信号线施加的电压相等或比向所述第一信号线施加的电压低的电压。
9.一种半导体组件,包括:
安装在基板上的多个半导体器件,每个所述半导体器件均包括反熔丝,
其中,所述反熔丝包括:
第一有源柱体,其包括位于上部的第一接面;
第二有源柱体,其包括位于上部的第二接面;
选择线,其设置在所述第一有源柱体和所述第二有源柱体之间的空间的下部;以及
阱层,其根据施加至所述第一接面、所述第二接面和所述选择线的各个电压,捕获少数载流子,从而将所述第一接面和所述第二接面电连接起来,所述阱层设置在所述选择线与第一有源柱体之间且在所述选择线与所述第二有源柱体之间。
10.一种系统,包括:
半导体组件,其包括多个半导体器件,每个所述半导体器件均包括反熔丝,
其中,所述反熔丝包括:
第一有源柱体,其包括位于上部的第一接面;
第二有源柱体,其包括位于上部的第二接面;
选择线,其设置在所述第一有源柱体和所述第二有源柱体之间的空间的下部;以及
阱层,其根据施加至所述第一接面、所述第二接面和所述选择线的各个电压,捕获少数载流子,从而将所述第一接面和所述第二接面电连接起来,
所述阱层设置在所述选择线与第一有源柱体之间且在所述选择线与所述第二有源柱体之间。
CN201310206394.1A 2012-07-16 2013-05-29 半导体器件的反熔丝、其制造方法以及半导体组件和系统 Active CN103545290B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020120077260A KR101993854B1 (ko) 2012-07-16 2012-07-16 반도체 소자의 안티퓨즈, 그 반도체 소자를 포함하는 반도체 모듈 및 시스템 그리고 그 안티퓨즈 형성 방법
KR10-2012-0077260 2012-07-16

Publications (2)

Publication Number Publication Date
CN103545290A true CN103545290A (zh) 2014-01-29
CN103545290B CN103545290B (zh) 2018-07-20

Family

ID=49913284

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310206394.1A Active CN103545290B (zh) 2012-07-16 2013-05-29 半导体器件的反熔丝、其制造方法以及半导体组件和系统

Country Status (3)

Country Link
US (3) US8981525B2 (zh)
KR (1) KR101993854B1 (zh)
CN (1) CN103545290B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104867943A (zh) * 2015-05-04 2015-08-26 京东方科技集团股份有限公司 Tft阵列基板、其制作方法、其测试方法及显示装置
CN107910316A (zh) * 2017-12-04 2018-04-13 睿力集成电路有限公司 半导体器件反熔丝结构及其写入和读取方法
CN109411444A (zh) * 2017-08-16 2019-03-01 联华电子股份有限公司 逆熔丝元件及其操作方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
MX2018012187A (es) * 2016-04-08 2019-08-05 Linde Ag Solvente mezclable mejorado para recuperacion de petroleo.
US9786595B1 (en) * 2016-05-25 2017-10-10 International Business Machines Corporation Antifuse having comb-like top electrode
US10453792B2 (en) * 2018-03-20 2019-10-22 International Business Machines Corporation High density antifuse co-integrated with vertical FET
US10410910B1 (en) * 2018-08-20 2019-09-10 Nanya Technology Corporation Method for preparing semiconductor structures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5856234A (en) * 1993-09-14 1999-01-05 Actel Corporation Method of fabricating an antifuse
US20080237602A1 (en) * 2007-03-27 2008-10-02 Sandisk 3D Llc Three dimensional nand memory
US20100140685A1 (en) * 2008-12-10 2010-06-10 Pil-Kyu Kang Nonvolatile Memory Devices
CN101996950A (zh) * 2009-08-11 2011-03-30 海力士半导体有限公司 半导体器件及其制造方法
US20110241099A1 (en) * 2010-03-31 2011-10-06 Woo-Song Ahn Semiconductor device including transistor and fuse circuit and semiconductor module including the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7575973B2 (en) * 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
KR101437892B1 (ko) * 2007-03-27 2014-09-04 쌘디스크 3디 엘엘씨 3차원 nand 메모리와 이를 제조하는 방법
US7629247B2 (en) 2007-04-12 2009-12-08 Sandisk 3D Llc Method of fabricating a self-aligning damascene memory structure
KR20090003717A (ko) * 2007-07-03 2009-01-12 주식회사 하이닉스반도체 낸드 플래시 메모리 장치, 그 구조 및 제조방법
JP2009049138A (ja) 2007-08-17 2009-03-05 Spansion Llc 半導体装置の製造方法
KR101083418B1 (ko) 2009-07-21 2011-11-14 광운대학교 산학협력단 전하트랩형 비휘발성 메모리 소자

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5856234A (en) * 1993-09-14 1999-01-05 Actel Corporation Method of fabricating an antifuse
US20080237602A1 (en) * 2007-03-27 2008-10-02 Sandisk 3D Llc Three dimensional nand memory
US20100140685A1 (en) * 2008-12-10 2010-06-10 Pil-Kyu Kang Nonvolatile Memory Devices
CN101996950A (zh) * 2009-08-11 2011-03-30 海力士半导体有限公司 半导体器件及其制造方法
US20110241099A1 (en) * 2010-03-31 2011-10-06 Woo-Song Ahn Semiconductor device including transistor and fuse circuit and semiconductor module including the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104867943A (zh) * 2015-05-04 2015-08-26 京东方科技集团股份有限公司 Tft阵列基板、其制作方法、其测试方法及显示装置
CN109411444A (zh) * 2017-08-16 2019-03-01 联华电子股份有限公司 逆熔丝元件及其操作方法
US10770159B2 (en) 2017-08-16 2020-09-08 United Microelectronics Corp. Antifuse device and method of operating the same
CN109411444B (zh) * 2017-08-16 2020-09-15 联华电子股份有限公司 逆熔丝元件及其操作方法
CN107910316A (zh) * 2017-12-04 2018-04-13 睿力集成电路有限公司 半导体器件反熔丝结构及其写入和读取方法

Also Published As

Publication number Publication date
US8981525B2 (en) 2015-03-17
US9679903B2 (en) 2017-06-13
CN103545290B (zh) 2018-07-20
US20150155235A1 (en) 2015-06-04
KR101993854B1 (ko) 2019-06-28
US20140015096A1 (en) 2014-01-16
KR20140010273A (ko) 2014-01-24
US20160233222A1 (en) 2016-08-11
US9343404B2 (en) 2016-05-17

Similar Documents

Publication Publication Date Title
CN103545290A (zh) 半导体器件的反熔丝、其制造方法以及半导体组件和系统
US8525298B2 (en) Phase change memory device having 3 dimensional stack structure and fabrication method thereof
US7256446B2 (en) One time programmable memory cell
CN104396014B (zh) 以反熔丝为特征的集成电路器件及其制造方法
US9219098B2 (en) Electronic device having flash memory array formed in at different level than variable resistance memory cells
US20140198553A1 (en) Integrated circuit 3d phase change memory array and manufacturing method
KR20200078746A (ko) 융합 메모리 소자 및 그 제조 방법
US20100044670A1 (en) Semiconductor device structures having single-crystalline switching device on conducting lines and methods thereof
KR100973275B1 (ko) 상변화 기억 소자 및 그의 제조방법
US8750069B2 (en) Semiconductor device and method for forming the same
JP2004260162A (ja) Rramアレイの製造方法及びrram
KR20080071355A (ko) 반도체 메모리 소자 및 그 제조 방법
KR20110132791A (ko) 퓨즈 구조체, 상기 퓨즈 구조체를 포함하는 이퓨즈 및 상기 이퓨즈를 포함하는 반도체 소자
CN101459175A (zh) 半导体器件及其制造方法
KR20150117770A (ko) 반도체 소자 및 그 제조 방법
US11823982B2 (en) Semiconductor chip including through electrode, and semiconductor package including the same
JP2011171391A (ja) アンチヒューズ構造およびアンチヒューズ構造の製造方法
CN115084187A (zh) 半导体存储器元件及其制作方法
KR20090015727A (ko) 상변화 기억 소자의 제조방법
KR101035145B1 (ko) 상변화 기억 소자 및 그 제조방법
KR20090015728A (ko) 상변화 기억 소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant