CN103367254A - 金属栅极半导体器件及其制造方法 - Google Patents

金属栅极半导体器件及其制造方法 Download PDF

Info

Publication number
CN103367254A
CN103367254A CN2012102828900A CN201210282890A CN103367254A CN 103367254 A CN103367254 A CN 103367254A CN 2012102828900 A CN2012102828900 A CN 2012102828900A CN 201210282890 A CN201210282890 A CN 201210282890A CN 103367254 A CN103367254 A CN 103367254A
Authority
CN
China
Prior art keywords
layer
grid structure
work function
metal level
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012102828900A
Other languages
English (en)
Other versions
CN103367254B (zh
Inventor
庄学理
朱鸣
林慧雯
杨宝如
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103367254A publication Critical patent/CN103367254A/zh
Application granted granted Critical
Publication of CN103367254B publication Critical patent/CN103367254B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种半导体制造方法包括在衬底的第一区域上形成第一功函数金属层以及在衬底的第二区域上和第一功函数金属层上形成金属层。在金属层上形成伪层。然后图案化这些层,从而在衬底的第一区域中形成第一栅极结构并且在第二区域中形成第二栅极结构。然后去除伪层,从而暴露出被处理的金属层。该处理可以是氧处理,该氧处理使得金属层充当第二功函数层。本发明还提供金属栅极半导体器件及其制造方法。

Description

金属栅极半导体器件及其制造方法
技术领域
本发明涉及一种金属栅极半导体器件及其制造方法。
背景技术
半导体集成电路(IC)工业经历了迅速的发展。IC材料和设计的技术发展产生出多代IC,每代IC都具有比前一代更小但更复杂的电路。在IC的发展过程中,大体上增大了功能密度(即,每个芯片面积的互连器件的数量),而减小了几何尺寸(即,使用制造工艺可以产生的最小部件(或线))。这种按比例缩小的工艺的优点大体上在于提高了生产效率并且降低了相关费用。这种按比例缩小还增加了IC的处理和制造的复杂性,并且为了实现这些发展,IC的处理和制造也需要类似的发展。
在一些IC设计中由于技术节点缩小而实施的一个进步就是以金属栅电极来替代通常的多晶硅栅电极,从而改善部件尺寸减小的器件的性能。形成金属栅极堆叠的一种工艺被称为替换或“后栅极”工艺,在该工艺中“后”制造最后的栅极堆叠,这减小了在形成栅极之后必须执行的后续工艺(包括高温处理)的数量。然而在CMOS制造中仍然存在实施这种部件和工艺的挑战。具有位于单个衬底上的不同类型的栅极结构的器件增加了这些挑战。
因此,需要一种为形成在衬底上的每个NMOS和PMOS晶体管提供不同配置的金属栅极结构的方法和半导体器件。
发明内容
为了解决现有技术中存在的问题,根据本发明的一个方面,提供了一种方法,包括:在衬底的第一区域上形成第一功函数金属层;在所述第一区域中的所述第一功函数金属层上以及所述衬底的第二区域上形成金属层;在所述金属层上形成伪层;使所述伪层,所述第一功函数金属层,以及所述金属层图案化,从而在所述衬底的所述第一区域中形成第一栅极结构而在所述衬底的所述第二区域中形成第二栅极结构,其中,所述第一栅极结构包括所述伪层、所述第一功函数金属层以及所述金属层,而所述第二栅极结构包括所述伪层和所述金属层;在形成所述第一栅极结构和所述第二栅极结构之后,去除所述伪层,从而暴露出所述金属层;以及处理所述金属层。
在上述方法中,其中,所述处理包括氧处理。
在上述方法中,其中,所述处理包括转化所述金属层的成分。
在上述方法中,其中,所述处理包括转化所述金属层的成分,其中,经过转化的成分为p型器件提供功函数。
在上述方法中,其中,所述处理包括转化所述金属层的成分,其中,经过转化的成分包括将TiN成分转化成TiON成分。
在上述方法中,其中,所述第一栅极结构与nFET器件相关,而所述第二栅极结构与pFET器件相关。
在上述方法中,其中,所述第一功函数金属层包括保护层和另一金属层。
在上述方法中,其中,所述第一功函数金属层包括保护层和另一金属层,其中,所述填充层包括阻挡层和填充金属层。
根据本发明的另一方面,还提供了一种方法,包括:形成与NMOS晶体管相关的第一栅极结构部分,其中,所述第一栅极结构部分包括栅极电介质、保护层、位于所述保护层上的第一金属层,以及位于所述第一金属层上面的第二金属层,其中,所述第一金属层是n型金属;形成与PMOS晶体管相关的第二栅极结构部分,其中,所述第二栅极结构部分包括所述栅极电介质和形成在所述栅极电介质上的所述第二金属层,其中所述第二栅极结构不包括所述第一金属层;在所述第一栅极结构部分和所述第二栅极结构部分上形成位于所述第二金属层上面的伪层;同时去除第一栅极结构和第二栅极结构两者中的伪层,从而形成沟槽;以及用填充金属填充所述沟槽。
在上述方法中,进一步包括:在去除所述伪层之后和填充所述沟槽之前,处理所述第二金属层。
在上述方法中,进一步包括:在去除所述伪层之后和填充所述沟槽之前,处理所述第二金属层,其中,所述处理将氧引入到了所述第二金属层中。
在上述方法中,其中,形成所述第二栅极结构部分包括在所述栅极电介质上直接形成所述第二金属层。
在上述方法中,其中,形成所述第一栅极结构部分包括:在所述衬底的第一区域和第二区域中的衬底上形成栅极介电层;在所述衬底上形成保护层和所述第一金属层,并且将所述保护层和所述第一金属层图案化成仅设置在所述衬底的所述第一区域上;在所述衬底的所述第一区域和所述第二区域的所述衬底上形成所述第二金属层;以及使所述栅极介电层、所述保护层、所述第一金属层,以及所述第二金属层图案化,从而在所述衬底的所述第一区域中形成所述第一栅极结构部分。
在上述方法中,其中,所述伪层是多晶硅。
根据本发明的另一方面,还提供了一种器件,包括:
第一栅极结构,包括:
第一类型的功函数材料;
第二类型的功函数材料,位于所述第一类型的功函数材料上面,其中,所述第二类型的功函数材料包括氧;以及
填充层,位于所述第二类型的功函数材料上面;以及第二栅极结构,包括:
第二类型的功函数材料;以及
填充层,位于所述第二类型的功函数材料上面。
在上述器件中,其中,所述第一栅极结构与NMOS器件相关,而所述第二栅极结构与PMOS器件相关。
在上述器件中,其中,所述填充层包括阻挡层和填充金属层。
在上述器件中,其中,所述第一栅极结构和所述第二栅极结构中的每个均包括位于所述第一类型的功函数材料或所述第二类型的功函数材料下面的栅极介电层,其中所述栅极介电层包括高k介电材料。
在上述器件中,其中,所述第二类型的功函数材料是TiON。
在上述器件中,其中,所述第一类型的功函数材料包括双层,所述双层包括保护层和金属层。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的多方面。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的数量和尺寸可以被任意增大或减小。
图1是流程图,示出了根据本公开的一个或多个方面制造半导体器件的方法的实施例;
图2-图11b示出了根据图1的方法的一个或多个步骤制造的半导体器件的实施例的截面图。
具体实施方式
应该理解,以下公开提供了多种不同实施例或实例,用于实现本发明的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括其他部件可以形成在第一部件和第二部件之间使得第一部件和第二部件不直接接触的实施例。为了简单和清楚,可以不同的比例任意绘制各个部件。
图1示出了制造半导体器件的方法100的流程图。方法100可以被用于在混合半导体器件上实施金属栅极结构。混合半导体器件包括多个不同配置的器件,这些器件具有不同配置的栅极结构(例如,具有不同层成分、厚度等的栅极堆叠)。不同配置的栅极堆叠可以分别与NMOS和PMOS相关。图2-图11b是根据图1的方法100制造的器件200的实施例的截面图。
参考图2-图11b,示出了处在金属栅极制造工艺中的各个制造阶段中的半导体器件200。半导体器件200包括区域202和204,在其中可以形成N沟道场效应晶体管(nFET)和P沟道FET(pFET)器件之一。这些区域也被称为NMOS和PMOS区域。例如,在一个实施例中,区域202是NMOS区域;区域204是PMOS区域。可以理解,可以通过互补金属氧化物半导体(CMOS)技术工艺流程来制造部分半导体器件200,因此在此仅对某些工艺进行简要的描述。
另外,半导体器件200可以包括多个其他器件和部件,诸如,额外的晶体管、双极结型晶体管、电阻器、电容器、二极管、熔丝等,但为了更好地理解本公开的发明构思而对其进行了简化。半导体器件200包括多个可以被互连的半导体器件(例如,晶体管)。器件200示出了衬底的两个区域中的每个中的一个栅极结构;出于简单和易于理解而提供该结构,并且无需将实施例局限于栅极结构的任意数量,区域的任意数量,或区域结构的任意配置方式。
方法100以框102为开始,其中提供了半导体衬底,该半导体衬底具有设置在其上的栅极介电层。该半导体衬底可以是硅衬底。根据本领域所公知的设计要求,该衬底可以包括各种掺杂的配置。该衬底还包括其他元素半导体,诸如,锗和金刚石。可选地,该衬底可以包括化合物半导体和/或合金半导体。另外,该衬底可以任选地包括外延层(epi层),可以为了提高性能而应变,可以包括绝缘体上硅(SOI)结构,和/或具有其他适合的强化部件。
衬底可以包括隔离部件,诸如,浅沟槽隔离(STI)、场氧化物、LOCOS部件,和/或其他适当的隔离部件。该隔离结构可以由氧化硅、氮化硅、氮氧化硅、氟化物掺杂的硅酸盐玻璃(FSG)、低k电介质,其组合,和/或本领域公知的其他适当的材料形成。
栅极介电层形成在衬底上。栅极介电层可以包括形成在衬底上方的界面层和/或高k介电层。界面层可以包括氧化硅层(SiO2)或氮氧化硅(SiON)。界面层的厚度可以在大约5至10埃(A)之间。界面层可以是热生长的氧化物。高k介电层可以通过原子层沉积(ALD)或其他适当技术形成在界面层上。高k介电层可以包括在大约10至40A的范围内的厚度。高k介电层可以包括氧化铪(HfO2)。可选地,高k介电层可以包括其他高k电介质,诸如,TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2,其组合,或其他适当的材料。另外,高k栅极介电层可以包括多层配置。
参考图2的实例,示出了半导体器件200。半导体器件200包括半导体衬底206,具有第一区域202和第二区域204。在实施例中,第一区域202是其中可以形成N沟道场效应晶体管(nFET)和P沟道FET(pFET)器件之一的区域;第二区域204是在其中可以形成N沟道场效应晶体管(nFET)和P沟道FET(pFET)器件中的另一个的区域。例如,在另外的实施例中,第一区域202提供了NMOS或nFET区域;第二区域204提供了PMOS或pFET区域。
衬底206包括隔离部件208。隔离部件208被示为STI部件,然而其他实施例也是可能的。栅极介电层210形成在衬底206上。栅极介电层210可以包括界面层和高k介电层(在此也被称为IL/HK)。
方法100然后进行框104,其中,在衬底上形成了第一功函数层。第一功函数层可以形成在栅极介电层上方。第一功函数层可以与pFET和nFET器件之一相关。在其他实施例中,第一功函数层被用于NMOS功函数。在实施例中,第一功函数层包括至少两个层(例如,双层)。例如,第一功函数层可以包括保护层和金属栅极层。应该注意到,由于第一功函数层可以只形成在衬底区域的一个区域(例如,NMOS)上,所以可以在考虑单个器件类型(例如,NMOS)的要求的情况下选择第一功函数层。
在一个实施例中,第一功函数层包括保护层。该保护层可以有助于调整金属层(例如,金属栅极层)的功函数,从而提供合适的晶体管性能。在一个实施例中,保护层包括氧化镧(LaOX)。在另一个实施例中,保护层是La2O3;然而,其他成分也是可能的。可以通过ALD、化学汽相沉积、物理汽相沉积,和/或其他适当的工艺形成该保护层。参考图3的实例,保护层302形成在衬底206上。在一个实施例中,保护层302的厚度在大约5和20A之间。在一个实施例中,保护层302是LaOX
第一功函数层可以包括金属栅极层。在一个实施例中,金属栅极层包括适于提供NMOS晶体管的N型功函数金属(N金属)。在另一个实施例中,金属栅极层包括富含Ti的TiN。其他实例包括TaN、ZrSi2、MoSi2、TaSi2、NiSi2、WN,其组合,和/或其他适当的材料。可以通过多种沉积技术(诸如,化学汽相沉积(CVD),物理汽相沉积(PVD或溅射),电镀,或其他适当的技术)来形成金属栅极层。参考图3的实例,金属栅极层304形成在衬底206上。在一个实施例中,金属栅极层在大约20和50A之间。金属栅极层304可以是N型金属,例如,提供了适用于形成在衬底206的区域202中的NMOS器件的功函数。在另一个实施例中,金属栅极层304是富含Ti的TiN。
第一功函数层可以共形地沉积在衬底上并且随后被图案化。参看图3-图4。可以通过沉积光敏材料,将光敏材料曝光成图案,并且显影图案化的光刻胶以形成掩模元件来进行图案化。该掩模元件可以保护位于衬底上的一个区域(例如,用于NMOS器件的区域)上的第一功函数层,而第一功函数层被从衬底的另一个区域(例如,用于PMOS器件的区域)去除。
参考图4的实例,金属栅极层304和保护层302被图案化,使得这些层被从衬底的区域204上去除掉。第一功函数层302和304保留在衬底206的区域202上。在一个实施例中,区域202是用于提供NMOS型器件的区域。
方法100随后进行框106,其中,目标保护层形成在衬底上。该目标保护层是适用于转换成功函数层的层。例如,在一个实施例中,目标保护层所提供的功函数(例如,在改变之后,诸如,下面参考框116所论述的那样)可以与框104的第一功函数层(例如,P型)的相反类型相关。在一个实施例中,目标保护层是富含N的TiN。在一个实施例中,目标保护层形成为在衬底上(例如,在衬底的NMOS区域和PMOS区域中)具有基本上均匀的厚度。参考图5的实例,目标保护层502形成在衬底206上,从而重叠在第一区域202和第二区域204上。在一个实施例中,目标保护层502的厚度在大约20至50A之间。
方法100然后进行框108,其中,形成了包括伪(或牺牲)层的栅极结构。在一个实施例中,伪层包括多晶硅,也被称为伪多晶层。可以使用适当的替换栅极工艺来形成具有伪层的栅极结构。例如,可以通过适合的沉积工艺(诸如,低压化学汽相沉积(LPCVD)和等离子体增强的CVD(PECVD))来形成该伪层。伪层可以覆在第一硬掩模层、目标保护层、栅极介电层和界面层上。这些层被图案化而形成了栅极结构。在一个实施例中,在图案化栅极结构中的使用的硬掩模层被设置在栅极结构上。硬掩模层的示例性的成分包括氧化硅、氮化硅、其组合,和/或其他的成分。
参考图6的实例,栅极结构602和604形成在衬底上。可以使用适合的光刻和蚀刻工艺来形成栅极结构602和604。栅极结构602设置在第一区域202中并且包括栅极介电层210、保护层302、金属栅极层304,目标保护层502,牺牲多晶硅层606,以及硬掩模层608。栅极结构602还包括与该结构的侧壁相邻的密封衬垫或隔离壁元件610。在一个实施例中,元件610包括氮化硅。然而,其他适合的电介质也是可能的。在一个实施例中,栅极结构602与NMOS器件相关。
栅极结构604设置在第二区域204中并且包括栅极介电层210、目标保护层502、牺牲多晶硅层606、以及硬掩模层608。栅极结构604还包括元件610。在一个实施例中,栅极结构604与PMOS器件相关。
方法100然后进行框110,其中,使用本领域公知的适当的工艺形成晶体管元件(或元件)。框110中形成的示例性元件包括源极/漏极区域。源极/漏极区域可以包括晕圈(halo)或小剂量漏极(LDD)注入工艺、源极/漏极注入工艺、源极/漏极激活工艺,和/或其他适当的工艺。在其他实施例中,源极/漏极区域可以包括升高的源极/漏极区域,应变区域,外延生长区域,和/或其他适合的区域。可以通过根据晶体管的配置向衬底206中引入p型或n型掺杂物或杂质来形成源极/漏极区域。所形成的源极/漏极区域可以包括形成在源极/漏极区域上的硅化物部件,例如,通过SALICIDE(自对准硅化物)工艺形成接触件。硅化物部件可以包括硅化镍、硅化钴、硅化钨、硅化钽、硅化钛、硅化铂、硅化铒、硅化钯,其组合,和/或其他适当的导电材料。可以在形成源极/漏极区域之前或之后形成一个或多个隔离元件。蚀刻停止层(诸如,接触蚀刻停止层(CESL))也可以设置在栅极结构上和/或与其相邻地设置。可以通过CVD、高密度等离子体CVD(HDP-CVD)、旋涂、PVD,和/或其他适合的方法来形成ESL。上述晶体管部件仅仅是说明性的,并不用于限制。本领域的普通技术人员能够意识到可以在CMOS处理过程中形成的其他部件。
方法100然后进行框112,其中可以形成层(或平面)间介电(ILD)层。可以使用CVD、HDP-CVD、旋涂、PVD和/或其他适当方法来形成该ILD层。该ILD层可以包括氧化硅、氮氧化硅、低k材料,和/或其他适当的介电材料。参考图8a和8b的实例,ILD层802设置在衬底上。在沉积ILD层之后,可以回蚀该层,从而将其从栅极结构上的区域中去除,暴露出栅极结构的顶层。在一个实施例中,也去除了位于栅极结构上的硬掩模层。如图8a和图8b所示,ILD层802已经被平坦化,从而暴露出了伪层(例如,牺牲多晶硅层)的顶面。
可以注意到,图8a和图8b以及其后面的附图示出了沿着被标为“A”和“B”的两个不同平面中的每个平面的器件200。图7示出了顶面视图中的器件200,并且标出了剩余的附图中所示的“A”和“B”平面。可以注意到,图7包括了NMOS和PMOS的标记,这些标记是说明性的并不局限于NMOS/PMOS布置中的任意具体的配置。
方法100然后进行框114,其中,去除了栅极结构的伪层来提供沟槽。在一个实施例中,可以同时将衬底的NMOS和PMOS区域两者中的栅极结构的伪层(例如,多晶硅)去除。可以通过湿式蚀刻和/或其他适合的工艺来进行该去除。参考图9a和图9b的实例,通过去除伪层606来形成沟槽902(见图6)。
方法100随后进行框116,其中在以上参考框106所描述的目标保护层上执行处理。该处理可以是覆盖处理(blanket treatment)(例如,同时在两个区域(NMOS和PMOS)区域上执行)。在一个实施例中,该处理是氧处理(例如,将目标保护层暴露于氧)。该氧处理可以将氧引入到目标保护层中。在一个实施例中,该处理工艺是等离子体处理。可以使用在大约200和1000瓦(W)之间的源功率来执行该处理工艺。可以在大约2至5豪托(mTorr)的压力下执行该处理工艺。源气体可以是O2、O3、H2O,和/或氧气源。可以注意到,这些工艺参数仅仅是示例性的并且不用于进行限制。
该处理可以氧化目标保护层。在一个实施例中,该处理改变了目标保护层的成分,使得其为p型器件(例如,p金属)提供了适合的功函数。例如,在一个实施例中,该处理改变了富含N的TiN层,从而提供了TiON。
参考图10a和图10b的实例,在衬底206上执行处理1002。处理1002可以是上面所述的氧处理。处理1002改变了目标保护层502,从而提供改变了的保护层1004。该改变了的保护层1004可以被用作为p金属(例如,为PMOS器件提供功函数)。在一个实施例中,改变了的保护层1004为形成在衬底206的区域204中的栅极提供功函数。在一个实施例中,改变了的保护层1004是TiON。
因此,方法100在实施例中可以被视为混合工艺,包括了用于形成NMOS器件的金属栅极的先栅极流程以及用于形成PMOS器件的金属栅极层的后栅极流程。
方法100随后进行框118,其中将填充层形成在衬底上。该填充层可以形成在通过去除伪层而提供的沟槽中。在一个实施例中,首先将阻挡层沉积在沟槽中。该阻挡层可以防止和/或消除不期望的材料颗粒(例如,Al)扩散到下面的栅极层中。在一个实施例中,阻挡层包括TiN、TaN,其组合,和/或其他适合的成分。然后可以将填充金属层(诸如,Al)沉积在阻挡层上方并且填充通过去除伪层而提供的沟槽的剩余部分。填充金属层的其他示例性的成分包括W、Cu,和/或其他适合的导电材料。填充金属和/或阻挡层可以通过CVD、PVD、电镀,或其他适合的工艺形成。在一个实施例中,在形成填充金属层之后,可以在这些层(例如,阻挡和/或填充层)上执行CMP(例如,金属CMP工艺)工艺,从而将这些层从ILD层的表面上去除。参考图11a和图11b的实例,阻挡层1102和填充层1104被设置在衬底206上。填充层1104和阻挡层1102填充了沟槽902的剩余区域。在其他实施例中,阻挡层1102被省略。
总之,在此所公开的方法和器件提供了被形成在单个衬底上的不同配置的栅极结构(例如,NMOS和PMOS)。每个配置的栅极结构(例如,NMOS和PMOS)均可以提供在每个栅极结构中都不同的金属保护层或功函数层,由此允许了灵活和合适的设计。另外,该方法的实施例提供了多个制造效率方面的优点。例如,所述方法的实施例可以节省传统的替换栅极工艺的通常的工艺步骤,包括金属层沉积、蚀刻工艺,以及金属CMP工艺,每个工艺均费用高昂并且可能使器件产生错误。可以另外注意到,该方法的实施例实现了单个伪层(例如,多晶硅)去除。这减少了N/P边界的问题。
因此,将注意到,实施例中所描述的是一种制造半导体器件的方法。该半导体制造方法包括在衬底的第一区域上形成第一功函数金属层以及在第一功函数金属层和衬底的第二区域上形成金属层。将伪层形成在金属层上。然后,图案化这些层,从而在衬底的第一区域中形成第一栅极结构,在第二区域中形成第二栅极结构。然后,去除该伪层,从而暴露出被处理的金属层。该处理可以是使金属层充当第二功函数层的氧处理。在一个实施例中,该处理包括转化金属层的成分(例如,TiN至TiON)。
在所述实施例的另一个更为广泛的形式中,一种方法包括形成与NMOS晶体管相关的第一栅极结构,该第一栅极结构包括栅极电介质、处在该栅极电介质上的第一金属层,以及覆盖在该第一金属层上的第二金属层。还形成了与PMOS晶体管相关的第二栅极结构。该第二栅极结构包括栅极电介质和形成在该栅极电介质上的第二金属层。伪层形成在第一栅极结构和第二栅极结构两者中并位于第二金属层上面。在实施一个或多个工艺之后,同时将第一栅极结构和第二栅极结构两者中的伪层去除,从而形成了沟槽。然后,用填充金属填充这些沟槽。
在方法的另一个实施例中,在去除了伪层之后和在形成填充金属之前处理第二金属层。该处理可以改变第二金属层的成分,从而为PMOS晶体管提供功函数。
还提供了一种半导体器件。该器件包括第一栅极结构,该第一栅极结构包括有第一类型的功函数材料,位于第一类型的功函数材料上的第二类型的功函数材料,其中,第二类型的功函数材料包括氧。填充层位于第二类型的功函数材料上面。第二栅极结构包括第二类型的功函数材料以及位于第二类型的功函数材料上的填充层。
在该器件的另一个实施例中,第一栅极结构与NMOS器件相关(例如,提供了用于nFET的栅电极),而第二栅极结构与PMOS器件相关(例如,提供了用于pFET的栅电极)。在特定的实施例中,填充层包括阻挡层和填充金属层。在一个实施例中,第二类型的功函数材料是TiON和/或第一类型的功函数材料是富含Ti的TiN。

Claims (10)

1.一种方法,包括:
在衬底的第一区域上形成第一功函数金属层;
在所述第一区域中的所述第一功函数金属层上以及所述衬底的第二区域上形成金属层;
在所述金属层上形成伪层;
使所述伪层,所述第一功函数金属层,以及所述金属层图案化,从而在所述衬底的所述第一区域中形成第一栅极结构而在所述衬底的所述第二区域中形成第二栅极结构,其中,所述第一栅极结构包括所述伪层、所述第一功函数金属层以及所述金属层,而所述第二栅极结构包括所述伪层和所述金属层;
在形成所述第一栅极结构和所述第二栅极结构之后,去除所述伪层,从而暴露出所述金属层;以及
处理所述金属层。
2.根据权利要求1所述的方法,其中,所述处理包括氧处理。
3.根据权利要求1所述的方法,其中,所述处理包括转化所述金属层的成分。
4.根据权利要求3所述的方法,其中,经过转化的成分为p型器件提供功函数。
5.根据权利要求3所述的方法,其中,经过转化的成分包括将TiN成分转化成TiON成分。
6.一种方法,包括:
形成与NMOS晶体管相关的第一栅极结构部分,其中,所述第一栅极结构部分包括栅极电介质、保护层、位于所述保护层上的第一金属层,以及位于所述第一金属层上面的第二金属层,其中,所述第一金属层是n型金属;
形成与PMOS晶体管相关的第二栅极结构部分,其中,所述第二栅极结构部分包括所述栅极电介质和形成在所述栅极电介质上的所述第二金属层,其中所述第二栅极结构不包括所述第一金属层;
在所述第一栅极结构部分和所述第二栅极结构部分上形成位于所述第二金属层上面的伪层;
同时去除第一栅极结构和第二栅极结构两者中的伪层,从而形成沟槽;以及
用填充金属填充所述沟槽。
7.根据权利要求6所述的方法,进一步包括:
在去除所述伪层之后和填充所述沟槽之前,处理所述第二金属层。
8.一种器件,包括:
第一栅极结构,包括:
第一类型的功函数材料;
第二类型的功函数材料,位于所述第一类型的功函数材料上面,其中,所述第二类型的功函数材料包括氧;以及
填充层,位于所述第二类型的功函数材料上面;以及
第二栅极结构,包括:
第二类型的功函数材料;以及
填充层,位于所述第二类型的功函数材料上面。
9.根据权利要求8所述的器件,其中,所述第一栅极结构与NMOS器件相关,而所述第二栅极结构与PMOS器件相关。
10.根据权利要求8所述的器件,其中,所述填充层包括阻挡层和填充金属层。
CN201210282890.0A 2012-03-30 2012-08-09 金属栅极半导体器件及其制造方法 Active CN103367254B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/434,969 US8772114B2 (en) 2012-03-30 2012-03-30 Metal gate semiconductor device and method of fabricating thereof
US13/434,969 2012-03-30

Publications (2)

Publication Number Publication Date
CN103367254A true CN103367254A (zh) 2013-10-23
CN103367254B CN103367254B (zh) 2015-12-16

Family

ID=49154850

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210282890.0A Active CN103367254B (zh) 2012-03-30 2012-08-09 金属栅极半导体器件及其制造方法

Country Status (4)

Country Link
US (2) US8772114B2 (zh)
KR (1) KR20130111174A (zh)
CN (1) CN103367254B (zh)
DE (1) DE102013101919B4 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106206434A (zh) * 2015-05-28 2016-12-07 台湾积体电路制造股份有限公司 半导体结构及其制造工艺

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9000527B2 (en) * 2012-05-15 2015-04-07 Apple Inc. Gate stack with electrical shunt in end portion of gate stack
KR101913434B1 (ko) * 2012-06-29 2018-10-30 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
KR101986144B1 (ko) * 2012-12-28 2019-06-05 에스케이하이닉스 주식회사 고유전층과 금속게이트를 갖는 반도체장치 및 그 제조 방법
US9640538B2 (en) * 2014-10-29 2017-05-02 Globalfoundries Inc. Embedded DRAM in replacement metal gate technology
KR102242989B1 (ko) * 2014-12-16 2021-04-22 에스케이하이닉스 주식회사 듀얼일함수 게이트구조를 구비한 반도체장치 및 그 제조 방법, 그를 구비한 메모리셀, 그를 구비한 전자장치
KR102235612B1 (ko) 2015-01-29 2021-04-02 삼성전자주식회사 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법
US10043802B2 (en) 2015-04-17 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with additional oxide layer
EP3718142A4 (en) 2017-11-30 2021-09-22 Intel Corporation STRUCTURING RIBS FOR THE PRODUCTION OF AN INTEGRATED CIRCUIT
KR20210129284A (ko) 2020-04-16 2021-10-28 삼성전자주식회사 반도체 장치 및 그 제조 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1243336A (zh) * 1998-06-30 2000-02-02 摩托罗拉公司 互补金属氧化物半导体器件及其形成方法
US20070066077A1 (en) * 2005-09-22 2007-03-22 Yasushi Akasaka Method for manufacturing semiconductor device
US20100052067A1 (en) * 2008-08-27 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating dual high-k metal gates for mos devices
US20100109095A1 (en) * 2008-10-14 2010-05-06 Imec Method for fabricating a dual work function semiconductor device and the device made thereof
US8513740B2 (en) * 2009-11-20 2013-08-20 Samsung Electronics Co., Ltd. Complementary metal oxide semiconductor device having metal gate stack structure and method of manufacturing the same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003273350A (ja) * 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
US20050250258A1 (en) 2004-05-04 2005-11-10 Metz Matthew V Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
JP4455427B2 (ja) * 2005-06-29 2010-04-21 株式会社東芝 半導体装置及びその製造方法
US7470577B2 (en) * 2005-08-15 2008-12-30 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US7569466B2 (en) 2005-12-16 2009-08-04 International Business Machines Corporation Dual metal gate self-aligned integration
US7436034B2 (en) 2005-12-19 2008-10-14 International Business Machines Corporation Metal oxynitride as a pFET material
US8003507B2 (en) 2008-08-18 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of integrating high-K/metal gate in CMOS process flow
US8524588B2 (en) * 2008-08-18 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N work function and P work function in a high-k/metal gate process
US8349680B2 (en) 2008-08-21 2013-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. High-k metal gate CMOS patterning method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1243336A (zh) * 1998-06-30 2000-02-02 摩托罗拉公司 互补金属氧化物半导体器件及其形成方法
US20070066077A1 (en) * 2005-09-22 2007-03-22 Yasushi Akasaka Method for manufacturing semiconductor device
US20100052067A1 (en) * 2008-08-27 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating dual high-k metal gates for mos devices
US20100109095A1 (en) * 2008-10-14 2010-05-06 Imec Method for fabricating a dual work function semiconductor device and the device made thereof
US8513740B2 (en) * 2009-11-20 2013-08-20 Samsung Electronics Co., Ltd. Complementary metal oxide semiconductor device having metal gate stack structure and method of manufacturing the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106206434A (zh) * 2015-05-28 2016-12-07 台湾积体电路制造股份有限公司 半导体结构及其制造工艺
CN106206434B (zh) * 2015-05-28 2019-06-14 台湾积体电路制造股份有限公司 半导体结构及其制造工艺
US10535653B2 (en) 2015-05-28 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure

Also Published As

Publication number Publication date
US9219124B2 (en) 2015-12-22
CN103367254B (zh) 2015-12-16
DE102013101919B4 (de) 2018-07-19
US20140374835A1 (en) 2014-12-25
KR20130111174A (ko) 2013-10-10
US8772114B2 (en) 2014-07-08
US20130256805A1 (en) 2013-10-03
DE102013101919A1 (de) 2013-10-02

Similar Documents

Publication Publication Date Title
CN103367254B (zh) 金属栅极半导体器件及其制造方法
US7947588B2 (en) Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
CN101789397B (zh) 半导体装置的制造方法
CN102103994B (zh) 高介电常数介电层和/或金属栅极元件的制造方法
US8586436B2 (en) Method of forming a variety of replacement gate types including replacement gate types on a hybrid semiconductor device
US8658525B2 (en) Methods for a gate replacement process
TWI408735B (zh) 半導體元件的製造方法
TWI412070B (zh) 金屬閘極堆疊的形成方法及具有金屬閘極堆疊之積體電路
US20150279745A1 (en) Methods for manufacturing semiconductor devices
US9496367B2 (en) Mechanism for forming metal gate structure
CN103137553A (zh) 具有电熔丝的集成电路及其形成方法
CN103247602A (zh) 半导体器件及其形成方法
TWI413183B (zh) 半導體元件以及其製作方法
US8067806B2 (en) Gate structures of CMOS device and method for manufacturing the same
US8492259B2 (en) Method of forming metal gate structure
CN103094214B (zh) 制作半导体器件的方法
CN103094082A (zh) 制作半导体器件的方法
US9209089B2 (en) Method of fabricating a metal gate semiconductor device
JP2010021363A (ja) 半導体装置、及びその製造方法
JP2009278042A (ja) 半導体装置、およびその製造方法
JP2008042125A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant