TWI412070B - 金屬閘極堆疊的形成方法及具有金屬閘極堆疊之積體電路 - Google Patents
金屬閘極堆疊的形成方法及具有金屬閘極堆疊之積體電路 Download PDFInfo
- Publication number
- TWI412070B TWI412070B TW098128776A TW98128776A TWI412070B TW I412070 B TWI412070 B TW I412070B TW 098128776 A TW098128776 A TW 098128776A TW 98128776 A TW98128776 A TW 98128776A TW I412070 B TWI412070 B TW I412070B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- forming
- metal
- gate stack
- gate
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 173
- 229910052751 metal Inorganic materials 0.000 claims abstract description 289
- 239000002184 metal Substances 0.000 claims abstract description 289
- 239000004065 semiconductor Substances 0.000 claims abstract description 200
- 239000000463 material Substances 0.000 claims abstract description 129
- 239000000758 substrate Substances 0.000 claims abstract description 122
- 230000005669 field effect Effects 0.000 claims abstract description 69
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims abstract description 52
- 229920005591 polysilicon Polymers 0.000 claims abstract description 52
- 239000000126 substance Substances 0.000 claims abstract description 25
- 239000004020 conductor Substances 0.000 claims abstract description 21
- 239000003989 dielectric material Substances 0.000 claims abstract description 12
- 238000005498 polishing Methods 0.000 claims abstract description 6
- 239000010410 layer Substances 0.000 claims description 589
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 88
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 claims description 73
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 65
- 229910052721 tungsten Inorganic materials 0.000 claims description 61
- 239000010937 tungsten Substances 0.000 claims description 61
- -1 tungsten nitride Chemical class 0.000 claims description 45
- 229910052732 germanium Inorganic materials 0.000 claims description 18
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 18
- 238000007517 polishing process Methods 0.000 claims description 17
- 238000000059 patterning Methods 0.000 claims description 16
- 229920002120 photoresistant polymer Polymers 0.000 claims description 13
- 239000011229 interlayer Substances 0.000 claims description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 6
- 238000011049 filling Methods 0.000 claims description 5
- 150000004767 nitrides Chemical class 0.000 claims description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 3
- 229910052757 nitrogen Inorganic materials 0.000 claims description 3
- 239000010936 titanium Substances 0.000 claims description 3
- 229910052719 titanium Inorganic materials 0.000 claims description 3
- 239000013078 crystal Substances 0.000 claims 1
- 239000007769 metal material Substances 0.000 description 21
- 230000015572 biosynthetic process Effects 0.000 description 17
- 238000005229 chemical vapour deposition Methods 0.000 description 16
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 16
- 229910052782 aluminium Inorganic materials 0.000 description 15
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 15
- 238000002955 isolation Methods 0.000 description 14
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 12
- 229910001936 tantalum oxide Inorganic materials 0.000 description 12
- 125000006850 spacer group Chemical group 0.000 description 11
- 238000000231 atomic layer deposition Methods 0.000 description 10
- 238000002513 implantation Methods 0.000 description 10
- 239000000203 mixture Substances 0.000 description 10
- 230000003647 oxidation Effects 0.000 description 8
- 238000007254 oxidation reaction Methods 0.000 description 8
- 238000005530 etching Methods 0.000 description 7
- 238000005468 ion implantation Methods 0.000 description 6
- KZNMRPQBBZBTSW-UHFFFAOYSA-N [Au]=O Chemical compound [Au]=O KZNMRPQBBZBTSW-UHFFFAOYSA-N 0.000 description 5
- 238000000151 deposition Methods 0.000 description 5
- 229910001922 gold oxide Inorganic materials 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 5
- 230000008021 deposition Effects 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 229910000449 hafnium oxide Inorganic materials 0.000 description 4
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical group [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 4
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 4
- 238000001459 lithography Methods 0.000 description 3
- 238000004377 microelectronic Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 3
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 3
- 239000013590 bulk material Substances 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 238000007654 immersion Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000007639 printing Methods 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 239000008186 active pharmaceutical agent Substances 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 229910001507 metal halide Inorganic materials 0.000 description 1
- 150000005309 metal halides Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical compound CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Ceramic Engineering (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
Description
本發明係有關於半導體元件及其形成方法,且特別是有關於具有金屬閘極堆疊之半導體元件。
當半導體元件(例如,金氧半場效電晶體,MOSFET)隨不同技術節點(technology nodes)而縮小化時,高介電常數材料(high k dielectric material)及金屬被採用以形成閘極堆疊(gate stack)。然而,在形成nMOS電晶體及pMOS電晶體之金屬閘極堆疊的方法中,許多問題可能於整合製程與材料時出現。例如,pMOS電晶體可能具有不良的效能。在另一例子中,nMOS電晶體與pMOS電晶體之功函數無法獨立且恰當地調整。
本發明一實施例提供一種半導體元件之金屬閘極堆疊的形成方法,包括於半導體基底上形成高介電常數材料層;於高介電常數材料層上形成導電材料層;採用多晶矽於n型場效電晶體區形成第一虛置閘極及於p型場效電晶體區形成第二虛置閘極;於半導體基底上形成層間介電材料;對半導體基底進行第一化學機械研磨製程;自第一虛置閘極移除多晶矽以形成第一閘極溝槽;形成n型金屬至第一閘極溝槽;對半導體基底進行第二化學機械研磨製程;自第二虛置閘極移除多晶矽以形成第二閘極溝槽;形成p型金屬至第二閘極溝槽;以及對半導體基底進行第三化學機械研磨製程。
本發明一實施例提供一種具有金屬閘極堆疊之積體電路,包括半導體基底;n型場效電晶體之第一閘極堆疊,包括高介電常數材料層,位於半導體基底上;氮化鈦層,位於高介電常數材料層上;第一金屬層,位於氮化鈦層上;以及第二金屬層,位於第一金屬層上;以及p型場效電晶體之第二閘極堆疊,包括高介電常數材料層,位於半導體基底上;氮化鈦層,位於高介電常數材料層上;第三金屬層,位於氮化鈦層上,其中第三金屬層不同於第一金屬層及第二金屬層;以及第四金屬層,位於第三金屬層上。
本發明一實施例提供一種半導體元件之金屬閘極堆疊的形成方法,包括於半導體基底上形成高介電常數材料層;於高介電常數材料層上形成導電材料層;採用多晶矽於n型場效電晶體區形成第一虛置閘極及於p型場效電晶體區形成第二虛置閘極;於半導體基底上形成層間介電材料;對半導體基底進行第一化學機械研製程;自第一虛置閘極及第二虛置閘極移除多晶矽以分別形成第一閘極溝槽及第二閘極溝槽;在第一閘極溝槽及第二閘極溝槽上形成n型金屬層;對半導體基底進行第二化學機械研磨製程;自第二閘極溝槽移除n型金屬層;形成p型金屬層至第二閘極溝槽;以及對半導體基底進行第三化學機械研磨製程。
本發明一實施例提供一種半導體元件之金屬閘極堆疊的形成方法,包括提供半導體基底,半導體基底於第一型式場效電晶體中具有第一閘極溝槽及於第二型式場效電晶體中具有第二閘極溝槽;於第一閘極溝槽中形成圖案化金屬結構;以及之後於第一閘極溝槽及第二閘極溝槽中皆形成第一金屬層。
應了解的是以下之敘述提供許多不同的實施例或例子,用以實施本發明之不同樣態。以下所述特定的元件及排列方式儘為簡單描述本發明。當然,這些僅用以舉例而非本發明之限定。此外,在不同實施例中可能使用重複的標號或標示。這些重複僅為了簡單清楚地敘述本發明,不代表所討論之不同實施例及/或結構之間具有任何關連性。再者,當述及一第一材料層位於一第二材料層上或之上時,包括第一材料層與第二材料層直接接觸之情形。或者,亦可能間隔有一或更多其他材料層之情形,在此情形中,第一材料層與第二材料層之間可能不直接接觸。
替代多晶矽閘極(replacement polysilicon gate,RPG)製程之新穎結構
第1圖顯示根據本發明實施例之製作具有金屬閘極堆疊之半導體元件之方法100的流程圖。第2圖顯示一實施例之具有金屬閘極堆疊之半導體結構120在一製程階段的剖面圖。第3圖顯示另一實施例之具有金屬閘極堆疊之半導體結構140在一製程階段的剖面圖。各種的半導體結構及其製作方法100將參照第1-3圖而共同敘述。
方法100開始於步驟101,於半導體基底230上形成虛置閘極堆疊(dummy gate stacks)。半導體基底包括矽。或者,半導體基底可包括鍺(germanium)、矽鍺(silicon germanium)、或其他適合的半導體材料。半導體基底還包括各種形成於基底中以分離各種元件之隔離結構(isolation features),例如是淺溝槽絕緣(shallow trench isolation)。半導體基底還可包括各種摻雜區,例如是在各種階段中形成之N井(n-well)、P井(p-well)、輕摻雜源極/汲極區(LDD)、及源極/汲極區。在一實施例中,半導體基底於nFET區231a中包括n型場效電晶體(nFET),及於pFET區231b包括p型場效電晶體(pFET)。在一實施例中,n型場效電晶體及p型場效電晶體包括金氧半(MOS)場效電晶體,例如是nMOSFET及pMOSFET。半導體基底還包括用於n型場效電晶體及p型場效電晶體之虛置閘極堆疊。特別是n型場效電晶體及p型場效電晶體包括具有高介電常數材料及多晶矽材料之虛置閘極堆疊。在其他實施例中,半導體基底包括複數個nFET區231a及複數個pFET區231b。
在一形成虛置閘極堆疊之實施例中,於半底體基底230上形成高介電常數材料層232。附加或替代地,在形成高介電常數材料層232之前,可選擇性以熱氧化法或原子層沉積(atomic layer deposition,ALD)於半導體基底230上形成氧化矽層(未顯示)。於高介電常數材料層232上形成金屬層234。在一實施例中,金屬層234包括氮化鈦(用作氮化鈦金屬閘極層)。在一實施例中,氮化鈦金屬閘極層之厚度約為20。在另一實施例中,氮化鈦金屬閘極層之厚度介於約10至約30之間。
在其他實施例中,進一步於金屬層134(氮化鈦金屬閘極層)上形成氮化鉭層(tantalum nitride layer)。在一實施例中,氮化鉭層之厚度約為10。在其他實施例中,氮化鉭層之厚度介於約5至約20之間。接著,於氮化鉭層上形成多晶矽層(未顯示)。
之後,於半導體基底230上形成多晶矽層(未顯示)。接著,將各種材料層圖案化以形成虛置閘極堆疊。附加或替代地,藉著各種離子佈植製程形成LDD區,使LDD區與虛置閘極堆疊對齊。之後,藉著介電層沉積及乾蝕刻製程以形成閘極間隙壁(gate spacers)。接著,以各種離子佈植製程形成源極/汲極區。於半導體基底及虛置閘極堆疊上形成層間介電層(inter-level dielectric,ILD)(未顯示)。
方法100進行至下一步驟102,藉著進行化學機械研磨(CMP)製程來研磨基底,使多晶矽露出。在其他實施例中,可於多晶矽上形成硬遮罩(hard mask),並用以形成虛置閘極堆疊。在此情形中,CMP製程用以使硬遮罩露出,並接著進行蝕刻製程(例如,濕式蝕刻浸泡)以移除硬遮罩,導致多晶矽露出。
方法100進行到下一步驟103,進行圖案化製程以覆蓋pFET區。在一實施例中,於半導體基底230上形成圖案化光阻層以覆蓋pFET區231b而使nFET區231a露出。
方法100進行至下一步驟104,進行蝕刻製程以自nFET區中之虛置閘極堆疊移除多晶矽,而於nFET區中形成閘極溝槽(gate trenches)。
方法100進行到下一步驟105,於nFET區之閘極溝槽中形成一或更多的n型金屬層(n-type metal layers)。在一實施例中,n型金屬層包括氮化鉭層236,其厚度例如為約10。n型金屬層可更包括第二金屬層240,例如是鈦鋁層(titanium aluminum layer)或氮化鈦鋁層(titanium aluminum nitride layer)。在一實施例中,第二金屬層240之厚度約為10。此外,可使用化學氣相沉積(CVD)製程或其他適合製程而於nFET區231a中之閘極溝槽中進一步填充金屬材料242,例如是鎢或鋁。
方法100進行至下一步驟106,對半導體基底230進行另一CMP製程以研磨基底,並大抵移除在先前CMP製程後之高於基底表面的過多金屬材料。
方法100進行到下一步驟107,移除pFET區中之虛置閘極堆疊的多晶矽。在此步驟中,對半導體基底230進行圖案化製程以蓋住nFET區。在一實施例中,於半導體基底230上形成圖案化光阻層,其蓋住nFET區並使pFET區露出。接著,對半導體基底230進行蝕刻步驟以自pFET區中之虛置閘極堆疊移除多晶矽,而於其中形成閘極溝槽。
方法100進行至下一步驟108,於pFET區之閘極溝槽中形成一或更多的p型金屬層(p-type metal layer)。在第2圖所示實施例中,p型金屬層之配置包括氮化鉭層236、形成於氮化鉭層236上之氮化鎢層(tungsten nitride layer)238、及形成於氮化鎢層238上之鈦鋁層(或氮化鈦鋁層)240。在一實施例中,氮化鉭層236包括約為10之厚度。在一實施例中,氮化鎢層238之厚度為約50。鈦鋁層(或氮化鈦鋁層)240包括約10之厚度。此外,可使用化學氣相沉積(CVD)製程或其他適合製程而於pFET區231b中之閘極溝槽中進一步填充金屬材料242,例如是鎢或鋁。
在第3圖所示之其他實施例中,p型金屬層包括氮化鈦層,其例如具有約40之厚度。p型金屬層更包括氮化鎢層。在一實施例中,氮化鎢層之厚度為約50。在其他實施例中,p型金屬層包括氮化鉭層,其例如具有約10之厚度。在一實施例中,n型金屬層包括鈦鋁(TiAl)層或氮化鈦鋁(TiAlN)層,其具有約10之厚度。再者,p型金屬層包括夾置於氮化鉭層與TiAl/TiAlN層之間的氮化鎢(WN)層。氮化鎢層之厚度為約50。此外,於pFET區中之閘極溝槽進一步填充金屬材料,例如鎢或鋁。
方法100進行到下一步驟109,對半導體基底進行另一CMP製程以研磨半導體基底,並大抵移除在先前CMP製程後之高於基底表面的過多金屬材料。
藉著所揭露之方法,可形成nFET與pFET之金屬閘極堆疊,其具有不同的成分與結構。nFET區與pFET區之功函數可獨立地調整。nMOSFET與pMOSFET之效能獲最佳化與提升。與先前所提習知金屬閘極之形成有關之問題可排除或減輕。
HKMG閘極最後製程之新穎結構
第4圖顯示根據本發明實施例之製作具有金屬閘極堆疊之半導體元件之方法160的流程圖。方法160配合第2-4圖作說明。
方法160開始於步驟162,提供半導體基底。半導體基底包括矽。或者,半導體基底可包括鍺、矽鍺、或其他適合的半導體材料。半導體基底還包括各種形成於基底中以分離各種元件之隔離結構,例如是淺溝槽絕緣。半導體基底還可包括各種摻雜區,例如是N井、P井、輕摻雜源極/汲極區、及源極/汲極區。半導體基底還包括n型場效電晶體(nFETs)及p型場效電晶體(pFETs)之虛置閘極堆疊。在一實施例中,n型場效電晶體及p型場效電晶體包括金氧半(MOS)場效電晶體,例如是nMOSFET及pMOSFET。特別是n型場效電晶體及p型場效電晶體包括具有高介電常數材料及多晶矽材料之虛置閘極堆疊。
在一形成虛置閘極堆疊之實施例中,於半底體基底上形成高介電常數材料層。附加或替代地,在形成高介電常數材料層之前,於半導體基底上形成氧化矽層。氧化矽層可以熱氧化法或原子層沉積(ALD)來形成。於高介電常數材料層上形成金屬層。在一實施例中,金屬層包括氮化鈦層(用作氮化鈦金屬閘極層)。在一實施例中,氮化鈦金屬閘極層之厚度約為20。在另一實施例中,氮化鈦金屬閘極層之厚度介於約10至約30之間。
在其他實施例中,如第2圖所示,於氮化鈦層上形成氮化鉭層。在一實施例中,氮化鉭層之厚度約為10。在其他實施例中,氮化鉭層之厚度介於約5至約20之間。在其他實施例中,此金屬層於移除多晶矽後形成。
之後,於半導體基底上形成多晶矽。接著,將各種材料層圖案化以形成虛置閘極堆疊。附加或替代地,藉著各種離子佈植製程形成LDD區,使LDD區與虛置閘極堆疊對齊。之後,藉著介電層沉積及乾蝕刻製程以形成閘極間隙壁。接著,以各種離子佈植製程形成源極/汲極區。於半導體基底及虛置閘極堆疊上形成層間介電層。
方法160進行至下一步驟164,進行化學機械研磨(CMP)製程來研磨基底,使多晶矽露出。在其他實施例中,可於多晶矽上形成硬遮罩,並用以形成虛置閘極堆疊。進行CMP製程以使硬遮罩露出,並接著進行蝕刻製程(例如,濕式蝕刻浸泡)以移除硬遮罩,導致多晶矽露出。
方法160進行到下一步驟166,進行蝕刻製程以自nFET區及pFET區中之虛置閘極堆疊移除多晶矽,而於nFET區及pFET區中形成閘極溝槽。
方法160進行到下一步驟168,於nFET區及pFET區之閘極溝槽中形成一或更多的n型金屬層。在一實施例中,n型金屬層包括氮化鉭層,其厚度例如為約10。n型金屬層可更包括鈦鋁層或氮化鈦鋁層。在一實施例中,此層之厚度約為10。在一實施例中,可使用化學氣相沉積製程或其他適合製程而於閘極溝槽中進一步填充金屬材料,例如是鎢或鋁。
方法160進行至下一步驟170,對半導體基底進行另一CMP製程以研磨基底,並大抵移除在先前CMP製程後之高於基底表面的過多金屬材料。
方法160進行至下一步驟172,進行圖案化製程以覆蓋nFET區。在一實施例中,於半導體基底上形成圖案化光阻層,其覆蓋nFET區,並使pFET區露出。
方法160進行到下一步驟174,進行蝕刻步驟以自pFET區移除n型金屬層。
方法160進行至下一步驟176,於pFET區之閘極溝槽中形成一或更多的p型金屬層。在第3圖所示實施例中,p型金屬層包括氮化鈦層,其例如具有約40之厚度。p型金屬層更包括氮化鎢層。在一實施例中,氮化鎢層之厚度為約50。在其他實施例中,p型金屬層包括氮化鉭層,其例如具有約10之厚度。在一實施例中,n型金屬層包括鈦鋁(TiAl)層或氮化鈦鋁(TiAlN)層,其具有約10之厚度。再者,p型金屬層包括夾置於氮化鉭層與TiAl/TiAlN層之間的氮化鎢層。氮化鎢層之厚度為約50,如第2圖所示。此外,可使用化學氣相沉積法或其他適合方法於pFET區中之閘極溝槽進一步填充金屬材料,例如鎢或鋁。
方法160進行到下一步驟178,對半導體基底進行另一CMP製程以研磨半導體基底,並大抵移除在先前CMP製程後之高於基底表面的過多金屬材料。
藉著所揭露之方法,可形成nFET與pFET之金屬閘極堆疊,其具有不同的成分與結構。nFET區與pFET區之功函數可獨立地調整。nMOSFET與pMOSFET之效能獲最佳化與提升。與先前所提習知金屬閘極之形成有關之問題可排除或減輕。
在其他實施例中,於nFET區及pFET區皆形成氮化鉭層。在一實施例中,氮化鉭層具有約10之厚度。接著,於氮化鉭層上形成氮化鎢層,並進一步將之圖案化,使nFET區中之氮化鉭層藉著此技藝人士所知之方法而移除。氮化鉭層之厚度為約50,如第2圖所示。接著,於nFET區之氮化鉭層及pFET區之氮化鎢層上形成鈦鋁(TiAl)層或氮化鈦鋁(TiAlN)層。在此情形中,氮化鎢層於pFET區中係夾置於TiAl/TiAlN層與氮化鉭層之間。在一實施例中,TiAl/TiAlN層具有約10之厚度。此外,可使用化學氣相沉積製程進一步於pFET區中之閘極溝槽填充金屬材料,例如鎢或鋁。此外,如第2或3圖所揭露之金屬閘極堆疊結構僅為兩實施例。可藉著方法160並替代使用其他適合的金屬材料來製作。
HKMG閘極最後製程之新穎元件結構
第5a-5d圖顯示根據本發明不同實施例之具有金屬閘極堆疊之半導體結構的剖面圖。在各種實施例中,第5a-5d圖之結構於PMOS電晶體中包括一或更多的圖案化金屬層。圖案化金屬層藉著沉積與蝕刻製程而形成於PMOS電晶體中。之後,於PMOS電晶體及NMOS電晶體皆形成一或更多的其他金屬層。因此,形成這些結構之方法於表1中標示為“P pattern first”。特別,第5a-5d圖之結構可於不同實施例藉著形成第2圖之半導體結構120之方法、形成第3圖之半導體結構140之方法、或前述之組合而形成。第5a-5d圖之不同半導體結構將進一步參照表1作說明。
半導體結構200顯示於第5a圖中。半導體結構200包括半導體基底230,其具有許多摻雜區,例如N井、P井、LDD區、及源極/汲極區。半導體基底可包括其他結構,例如用以隔離不同元件之淺溝槽絕緣區。
半導體結構200包括場效電晶體(FET)之金屬閘極堆疊,例如nFETs及pFETs。在一實施例中,場效電晶體包括具有用作閘極介電層之高介電常數材料層及用作閘極電極之金屬層的金氧半場效電晶體(MOSFETs)。如第5a圖所示,半導體結構200包括NMOS電晶體,其標示為231a(為簡化,僅部分的NMOS電晶體顯示於第5a圖中)。半導體結構200包括PMOS電晶體,其標示為231b(為簡化,僅部分的PMOS電晶體顯示於第5a圖中)。
NMOS電晶體之金屬閘極堆疊包括高介電常數材料層232,且可更包括位於高介電常數材料層之下的薄氧化矽層。薄氧化矽層可藉著熱氧化法、原子層沉積、或其他適合製程而形成。NMOS電晶體之金屬閘極堆疊包括金屬層234(例如,氮化鈦層)。在一實施例中,金屬層234(例如,氮化鈦層)具有約20之厚度。在一實施例中,上述的薄氧化矽層、高介電常數材料層、及金屬層(例如,氮化鈦層)係於閘極圖案化及源極/汲極區佈植製程前形成。NMOS電晶體之金屬閘極堆疊還包括第二氮化鈦層250,其在閘極圖案化及源極/汲極區佈植製程之後形成。在一實施例中,第二氮化鈦層250具有約40之厚度。NMOS電晶體之金屬閘極堆疊更包括形成於第二氮化鈦層250上之鈦鋁層(或氮化鈦鋁層)(TiAl/TiAlN)252。在一實施例中,鈦鋁層(或氮化鈦鋁層)252具有約50之厚度。NMOS電晶體之金屬閘極堆疊更包括金屬材料242,其在多晶矽移除之後填充於閘極間隙壁之間的閘極溝槽中。例如,所填充之金屬包括鎢或鋁,可藉由化學氣相沉積製程或其他適合製程而沉積。第二氮化鈦層250及鈦鋁層(或氮化鈦鋁層)252在多晶矽移除之後形成於NMOS電晶體之閘極溝槽中。
PMOS電晶體之金屬閘極堆疊包括高介電常數材料層232,且可更包括位於高介電常數材料層之下的薄氧化矽層。薄氧化矽層可藉著熱氧化法、原子層沉積、或其他適合製程而形成。PMOS電晶體之金屬閘極堆疊之高介電常數材料層相似於NMOS電晶體之金屬閘極堆疊之高介電常數材料層,兩者可於單一製程中形成。PMOS電晶體之金屬閘極堆疊包括金屬層234(例如,氮化鈦層)。在一實施例中,金屬層234(例如,氮化鈦層)具有約20之厚度。PMOS電晶體之金屬閘極堆疊之金屬層234(例如,氮化鈦層)相似於NMOS電晶體之金屬閘極堆疊之金屬層234(例如,氮化鈦層),兩者可於單一製程中形成。在一實施例中,上述的薄氧化矽層、高介電常數材料層、及金屬層(例如,氮化鈦層)係於閘極圖案化及源極/汲極區佈植製程前形成。PMOS電晶體之金屬閘極堆疊還包括第二氮化鈦層250,其在閘極圖案化及源極/汲極區佈植製程之後形成。在一實施例中,第二氮化鈦層250具有約40之厚度。PMOS電晶體之金屬閘極堆疊更包括形成於第二氮化鈦層250上之氮化鎢層254。在一實施例中,氮化鎢層254具有約50之厚度。PMOS電晶體之金屬閘極堆疊更包括形成於第二氮化鈦層250上之鈦鋁層(或氮化鈦鋁層)(TiAl/TiAlN)252,且可與NMOS電晶體中之相似層於單一製程中形成。在一實施例中,鈦鋁層(或氮化鈦鋁層)252具有約50之厚度。PMOS電晶體之金屬閘極堆疊更包括金屬材料242,其在多晶矽移除之後填充於閘極間隙壁之間的閘極溝槽中。例如,所填充之金屬包括鎢或鋁,可藉由化學氣相沉積製程或其他適合製程而沉積。第二氮化鈦層、氮化鎢層、及鈦鋁層(或氮化鈦鋁層)在多晶矽移除之後形成於PMOS電晶體之閘極溝槽中。所揭露NMOS電晶體之金屬閘極堆疊之材質及PMOS電晶體之金屬閘極堆疊之材質進一步提供於表1之“提案A”欄位中,其中“NMOS”欄位提供NMOS電晶體之金屬閘極堆疊的數種材料,而“PMOS”欄位提供PMOS電晶體之金屬閘極堆疊的數種材料。表1中之標示“v”代表所指之材料層存在,而標示“x”代表所指之材料層不存在。表1中之“IL”代表界面層(interfacial layer)。在一實施例中,“IL”層為一氧化矽層。薄氧化矽層可藉著熱氧化法或原子層沉積而形成。表1中之“HK”代表高介電常數材料層。表1中之薄膜“TiAl”可為鈦鋁(TiAl)層或氮化鈦鋁(TiAlN)層。表1中之“RPG”代表替代多晶矽閘極(replacement polysilicon gate),意味著一閘極最後製程(gate-last process),其中先形成多晶矽閘極堆疊,接著以離子佈植形成源極及汲極區,接著移除多晶矽,並形成多種金屬材料層以形成高介電常數材料層及金屬層之閘極堆疊。上述對於表1中術語之定義亦適用於表2。
半導體結構202顯示於第5b圖中。半導體結構202包括半導體基底230,其具有許多摻雜區,例如N井、P井、LDD區、及源極/汲極區。半導體基底可包括其他結構,例如用以隔離不同元件之淺溝槽絕緣區。
半導體結構202包括場效電晶體(FET)之金屬閘極堆疊,例如nFETs及pFETs。在一實施例中,場效電晶體包括使用高介電常數材料層作為閘極介電層及使用金屬層作為閘極電極的金氧半場效電晶體(MOSFETs)。如第5b圖所示,半導體結構202包括NMOS電晶體231a及PMOS電晶體231b。
NMOS電晶體之金屬閘極堆疊包括高介電常數材料層232,且可更包括位於高介電常數材料層之下的薄氧化矽層。NMOS電晶體之金屬閘極堆疊包括金屬層234(例如,氮化鈦層)。在一實施例中,金屬層234(例如,氮化鈦層)具有約20之厚度。在一實施例中,上述的薄氧化矽層、高介電常數材料層、及金屬層(例如,氮化鈦層)係於閘極圖案化及源極/汲極區佈植製程前形成。NMOS電晶體之金屬閘極堆疊包括氮化鉭層256。在一實施例中,氮化鉭層256具有約10之厚度NMOS電晶體之金屬閘極堆疊更包括形成於氮化鉭層256上之鈦鋁層(或氮化鈦鋁層)(TiAl/TiAlN)252。在一實施例中,鈦鋁層(或氮化鈦鋁層)252具有約50之厚度。NMOS電晶體之金屬閘極堆疊更包括金屬材料242,其在多晶矽移除之後填充於閘極間隙壁之間的閘極溝槽中。在不同實施例中,所填充之金屬材料242包括鎢、鋁、或其他適合的導電材料。在一實施例中,氮化鉭層256及鈦鋁層(或氮化鈦鋁層)252在多晶矽移除之後形成於NMOS電晶體之閘極溝槽中。
PMOS電晶體之金屬閘極堆疊包括高介電常數材料層232,且可更包括位於高介電常數材料層之下的薄氧化矽層。PMOS電晶體之金屬閘極堆疊之高介電常數材料層相似於NMOS電晶體之金屬閘極堆疊之高介電常數材料層,兩者可於單一製程中形成。進一步於高介電常數材料層上形成金屬層234(例如,氮化鈦層)。在一實施例中,金屬層234(例如,氮化鈦層)具有約20之厚度,且可與NMOS電晶體之金屬層234(例如,氮化鈦層)於單一製程中形成。在一實施例中,上述的薄氧化矽層、高介電常數材料層、及金屬層(例如,氮化鈦層)係於閘極圖案化及源極/汲極區佈植製程前形成。PMOS電晶體之金屬閘極堆疊包括氮化鉭層256,其相似於NMOS電晶體之氮化鉭層,兩者可於單一製程中形成。PMOS電晶體之金屬閘極堆疊包括沉積於氮化鉭層256上之第二氮化鈦層250。在一實施例中,第二氮化鈦層250具有約40之厚度。PMOS電晶體之金屬閘極堆疊更包括形成於第二氮化鈦層250上之氮化鎢層254。在一實施例中,氮化鎢層254具有約50之厚度。PMOS電晶體之金屬閘極堆疊更包括形成於第二氮化鈦層250上之鈦鋁層(或氮化鈦鋁層)(TiAl/TiAlN)252。在一實施例中,鈦鋁層(或氮化鈦鋁層)252具有約10之厚度。PMOS電晶體之金屬閘極堆疊更包括金屬材料242,其在多晶矽移除之後填充於閘極間隙壁之間的閘極溝槽中。所填充之金屬材料242包括鎢或鋁,可藉由化學氣相沉積製程或其他適合製程而沉積。在一實施例中,氮化鉭層、第二氮化鈦層、氮化鎢層、及鈦鋁層(或氮化鈦鋁層)在多晶矽移除之後形成於PMOS電晶體之閘極溝槽中。所揭露NMOS電晶體之金屬閘極堆疊之材質及PMOS電晶體之金屬閘極堆疊之材質進一步提供於表1之“提案B”欄位中。在其他實施例中,在多晶矽移除之後,於NMOS電晶體及PMOS電晶體皆形成氮化鉭層。接著,於基底上沉積氮化鈦層及氮化鎢層並將之圖案化,使這兩層材料層僅於PMOS電晶體中保留。接著,於NMOS電晶體及PMOS電晶體之閘極溝槽中皆形成鈦鋁層(或氮化鈦鋁層)。接著,進一步於NMOS電晶體及PMOS電晶體之閘極溝槽中皆填充鋁或鎢層。接著,對基底進行CMP製程以移除金屬層之過多部分以形成N型金屬閘極堆疊(N metal gate stack)及P型金屬閘極堆疊(P metal gate stack)。
半導體結構204顯示於第5c圖中。半導體結構204類似於第5a圖之半導體結構200。所揭露NMOS電晶體之金屬閘極堆疊之材料層及PMOS電晶體之金屬閘極堆疊之材料層於不同實施例中具有許多不同的厚度,並進一步將其材質提供於表1之“提案C”欄位中。半導體結構204之結構、成分、及形成方式與第5a圖之半導體結構200相似,除了NMOS電晶體及PMOS電晶體之第二氮化鈦層皆以氮化鉭層256取代。在一實施例中,氮化鉭層256可具有約10之厚度。在其他實施例中,NMOS電晶體及PMOS電晶體之鈦鋁層(或氮化鈦鋁層)252皆可具有例如約10之厚度。
半導體結構206顯示於第5d圖中。半導體結構206類似於第5b圖之半導體結構202。所揭露NMOS電晶體之金屬閘極堆疊之材料層及PMOS電晶體之金屬閘極堆疊之材料層於不同實施例中具有許多不同的尺寸,並進一步將其材質提供於表1之“提案D”欄位中。半導體結構206之結構、成分、及形成方式與第5b圖之半導體結構202相似,除了PMOS電晶體之第二氮化鈦層被排除,以及PMOS電晶體及NMOS電晶體之鈦鋁層(或氮化鈦鋁層)皆被排除。
第6a-6f圖顯示根據本發明不同實施例之具有金屬閘極堆疊之半導體結構的剖面圖。第6a-6f圖之結構於NMOS電晶體中包括一或更多的圖案化金屬層。在一實施例中,圖案化金屬層藉著沉積與蝕刻製程而形成在NMOS電晶體上。之後,於NMOS電晶體及PMOS電晶體皆形成一或更多的其他金屬層。因此,第6a-6f圖之結構於表2中標示為“N pattern first”。在不同實施例中,第6a-6f圖之結構可使用形成第2圖之半導體結構120之方法、形成第3圖之半導體結構140之方法、或前述之組合而形成。第6a-6f圖之半導體結構將進一步參照表2作說明。
半導體結構210顯示於第6a圖中。半導體結構210包括半導體基底230,其具有許多摻雜區,例如N井、P井、LDD區、及源極/汲極區。半導體基底230可包括其他結構,例如用以隔離不同元件之淺溝槽絕緣區。
半導體結構210包括場效電晶體(FET)之金屬閘極堆疊,例如nFETs及pFETs。在一實施例中,場效電晶體包括使用高介電常數材料層作為閘極介電層及使用金屬層作為閘極電極的金氧半場效電晶體(MOSFETs)。如第6a圖所示,半導體結構210包括NMOS電晶體231a及PMOS電晶體231b。
NMOS電晶體之金屬閘極堆疊包括高介電常數材料層232,且可更包括位於高介電常數材料層之下的薄氧化矽層(未顯示)。NMOS電晶體之金屬閘極堆疊包括金屬層234(例如,氮化鈦層)。在一實施例中,金屬層234(例如,氮化鈦層)具有約20之厚度。在一實施例中,上述的薄氧化矽層、高介電常數材料層、及金屬層(例如,氮化鈦層)係於閘極圖案化及源極/汲極區佈植製程前形成。
NMOS電晶體之金屬閘極堆疊包括形成於金屬層234(例如,氮化鈦層)上之鈦鋁層(或氮化鈦鋁層)(TiAl/TiAlN)252。在一實施例中,鈦鋁層(或氮化鈦鋁層)252具有約50之厚度。NMOS電晶體之金屬閘極堆疊還包括第二氮化鈦層250。在一實施例中,第二氮化鈦層250具有約40之厚度。NMOS電晶體之金屬閘極堆疊還包括氮化鎢層254。在一實施例中,氮化鎢層254之厚度為約50。NMOS電晶體之金屬閘極堆疊更包括金屬材料242,其在多晶矽移除之後填充於閘極間隙壁之間的閘極溝槽中。例如,所填充之金屬包括鎢或鋁,可藉由化學氣相沉積製程或其他適合製程而沉積。鈦鋁層(或氮化鈦鋁層)、第二氮化鈦層、及氮化鎢層在多晶矽移除之後形成於NMOS電晶體之閘極溝槽中。
PMOS電晶體之金屬閘極堆疊包括高介電常數材料層232,且可更包括位於高介電常數材料層之下的薄氧化矽層。PMOS電晶體之金屬閘極堆疊之高介電常數材料層相似於NMOS電晶體之金屬閘極堆疊之高介電常數材料層,兩者可於單一製程中形成。此外,PMOS電晶體之金屬閘極堆疊包括金屬層234(例如,氮化鈦層)。在一實施例中,金屬層234(例如,氮化鈦層)具有約20之厚度。在一實施例中,上述的薄氧化矽層、高介電常數材料層、及金屬層(例如,氮化鈦層)係於閘極圖案化及源極/汲極區佈植製程前形成。PMOS電晶體之金屬閘極堆疊包括第二氮化鈦層250,其在閘極圖案化及源極/汲極區佈植製程之後形成。在一實施例中,第二氮化鈦層250具有約40之厚度。PMOS電晶體之金屬閘極堆疊更包括形成於第二氮化鈦層250上之氮化鎢層254。在一實施例中,氮化鎢層254具有約50之厚度。PMOS電晶體之金屬閘極堆疊更包括形成於第二氮化鈦層250上之氮化鎢層254。在一實施例中,氮化鎢層254具有約50之厚度。PMOS電晶體之金屬閘極堆疊更包括金屬材料242,其在多晶矽移除之後填充於閘極間隙壁之間的閘極溝槽中。例如,所填充之金屬包括鎢或鋁,可藉由化學氣相沉積製程或其他適合製程而沉積。第二氮化鈦層、氮化鎢層、及所填充之鎢或鋁層在多晶矽移除之後形成於PMOS電晶體之閘極溝槽中。所揭露NMOS電晶體之金屬閘極堆疊之材質及PMOS電晶體之金屬閘極堆疊之材質進一步提供於表2之“提案A”欄位中。
半導體結構212顯示於第6b圖中。半導體結構212在結構、成分、及形成方式上類似於第6a圖之半導體結構210,除了NMOS電晶體區及PMOS電晶體區之氮化鎢層皆排除。
半導體結構214顯示於第6c圖中,且進一步提供於表2之“提案B”欄位中。半導體結構214在結構、成分、及形成方式上類似於第6a圖之半導體結構210,除了NMOS電晶體區及PMOS電晶體區上皆進一步形成了氮化鉭層。在一實施例中,氮化鉭層具有約10之厚度。在一實施例中,氮化鉭層可於閘極圖案化及源極/汲極佈植製程之前形成於金屬閘極堆疊中。在其他實施例中,氮化鉭層於多晶矽移除之後形成。
半導體結構216顯示於第6d圖中。半導體結構216在結構、成分、及形成方式上類似於第6c圖之半導體結構214,除了NMOS電晶體區及PMOS電晶體區之氮化鎢層皆排除。
半導體結構218顯示於第6e圖中,且進一步提供於表2之“提案C”欄位中。半導體結構218在結構、成分、及形成方式上類似於第6a圖之半導體結構210,除了僅於NMOS電晶體區之金屬閘極堆疊上進一步形成了圖案化氮化鉭層256。在一實施例中,氮化鉭層256具有約10之厚度。氮化鉭層256及鈦鋁層(或氮化鈦鋁層)252可於多晶矽移除之後形成在NMOS電晶體區之金屬閘極堆疊上。
半導體結構220顯示於第6f圖中。半導體結構220在結構、成分、及形成方式上類似於第6e圖之半導體結構218,除了NMOS電晶體區及PMOS電晶體區之氮化鎢層皆排除。
藉著於不同實施例中所揭露之結構及方法,可形成nFET與pFET之金屬閘極堆疊,其具有不同的成分與結構。nFET區與pFET區之功函數可獨立地調整。在不同實施例中,nMOSFET與pMOSFET之效能獲最佳化與提升。與先前所提習知金屬閘極之形成有關之問題可排除或減輕。
本發明實施例之方法及半導體結構包括許多變化。例如,高介電常數材料層可藉著適合的製程而形成,例如是原子層沉積(ALD)。其他形成高介電常數材料層之方法包括有機金屬化學氣相沉積(metal organic chemical vapor deposition,MOCVD)、物理氣相沉積(PVD)、及/或分子束磊晶(molecular beam epitaxy,MBE)。在一實施例中,高介電常數材料層包括氧化鉿(HfO2
)。在其他實施例中,高介電常數材料層包括氧化鋁(Al2
O3
)。或者,高介電常數材料層包括金屬氮化物、金屬矽化物、或其他金屬氧化物。夾置於半導體基底與高介電常數材料層之間的界面層可為氧化矽層,且可藉由許多適合的製程而形成,例如熱氧化法、原子層沉積、或紫外線臭氧氧化法(UV-Ozone oxidation)。氧化矽界面層可具有小於10之厚度。在其他實施例中,氧化矽界面層具有約5之厚度。
各種金屬閘極層可以物理氣相沉積或其他適合製程而形成。所揭露之半導體結構可包括夾置於高介電常數材料層與金屬閘極層之間之附加的覆蓋層(additionally capping layer)。在一實施例中,覆蓋層包括氧化鑭(lanthanum oxide,LaO)。或者,覆蓋層可包括其他適合的材料。多晶矽層可藉著化學氣相沉積或其他適合的製程而形成。
各種圖案化製程可包括藉由微影製程(photolithography process)以形成圖案化光阻層。微影製程例如可包括光阻塗佈、軟烤、光罩對準、曝光、後曝光烘烤、光阻顯影、及硬烤等步驟。微影曝光製程還可以其他適合的方法來進行或取代,例如是無光罩微影(maskless photolithography)、電子束寫入(electron-beam writing)、離子束寫入(ion-beam writing)、及/或分子印刷(molecular printing)等。
在其他實施例中,各種圖案化製程可包括形成附加的圖案化硬遮罩層。在一實施例中,圖案化硬遮罩層包括氮化矽。舉例說明圖案化氮化矽硬遮罩層之形成,藉著低壓化學氣相沉積(LPCVD)製程而於多晶矽層上沉積氮化矽層。形成氮化矽層之CVD製程所用之前驅物(precursor)可包括dichlorosilane(DCS or SiH2
Cl2
)、bis(TertiaryButylAmino)silane(BTBAS or C8
H22
N2
Si)、及disilane(DS or Si2
H6
)。使用微影製程將氮化矽層進一步圖案化,包括形成圖案化光阻層,並進行蝕刻製程以蝕刻圖案化光阻層之開口中的氮化矽。或者,其他介電材料可用作圖案化硬遮罩。例如,氮氧化矽可用作硬遮罩。
雖然未顯示,可進行其他製程步驟以形成各種摻雜區(例如,源極及汲極區)、元件結構(例如,多層內連線(multilayer interconnection,MLI))。在一實施例中,輕摻雜源極/汲極區(LDD)於閘極堆疊形成之後形成。可於金屬閘極堆疊之側壁上形成閘極間隙壁。接著,源極/汲極區大抵對齊於間隙壁之外緣而形成。閘極間隙壁可具有多層結構,且可包括氧化矽、氮化矽、氮氧化矽、或其他介電材料。所摻雜之源極及汲極區與LDD區不論是具有n型摻雜物(n-type dopant)或p型摻雜物(p-type dopant)皆可以習知的摻雜製程來形成,例如離子佈植。用來形成相應的摻雜區之n型摻雜物可包括磷、砷、及/或其他材料。p型摻雜物可包括硼、銦、及/或其他材料。
可進一步形成多層內連線。多層內連線包括垂直內連線(例如,習知的導通孔(vias)或接點(contacts))以及水平內連線(例如,金屬線路)。不同的內連線結構可採用不同的導電材料,包括銅、鎢、及/或矽化物(silicide)。在一實施例中,使用鑲嵌製程(damascene process)以於接觸孔(contact holes)中形成鎢插塞(tungsten plugs)。
半導體基底可更包括附加的隔離結構(isolation features)以使每一元件與其他元件彼此隔離。隔離結構可包括不同的結構,且可使用不同的製程技術來形成。例如,隔離結構可包括淺溝槽絕緣(STI)結構。淺溝槽絕緣結構之形成可包括於基底中蝕刻出一溝槽,並以絕緣材料填充溝槽,絕緣材料例如是氧化矽、氮化矽、或氮氧化矽。所填充之溝槽可具有多層結構,例如熱氧化襯層(thermal oxide liner layer)與填充溝槽之氮化矽。在一實施例中,淺溝槽絕緣結構可使用一系列製程而製造,例如:成長氧化物墊(pad oxide)、形成低壓化學氣相沉積氮化物層、使用光阻及遮罩圖案化出淺溝槽絕緣開口(STI opening)、選擇性成長熱氧化溝槽襯層以增進溝槽之界面、以CVD氧化物填充溝槽、使用化學機械研磨以回蝕刻、以及使用氮化物剝除製程(nitride stripping)以留下淺溝槽絕緣結構。
在本發明各種實施例中,半導體結構及其形成方法可用於其他具有高介電常數材料層及金屬閘極結構之半導體元件中,例如應變半導體基底(strained semiconductor substrate)、異質半導體元件(hetero-semiconductor device)、或無應力隔離結構(stress-free isolation structure)。
本發明實施例不限於半導體結構中包括MOS電晶體之應用,且可延伸至其他具有金屬閘極堆疊之積體電路。例如,積體電路結構可包括動態隨機存取記憶體(dynamic random access memory,DRAM)、單電子電晶體(single electron transistor,SET)、及/或其他微電子元件(在此,共同稱作微電子元件,microelectronic devices)。在其他實施例中,半導體結構包括鰭式場效電晶體(FinFET transistors)。當然,本發明實施例亦可應用於及/或容易適應其他型式之電晶體,包括單閘極電晶體(single-gate transistors)、雙閘極電晶體(double-gate transistors)、及其他多閘極電晶體(multi-gate transistors),且可利用於許多其他應用,包括感測器單元(sensor cells)、記憶體單元(memory cells)、邏輯單元(logic cells)、及其他。
雖然本發明實施例已詳細說明,然此技藝人士當可明瞭,這些實施例在不脫離本發明之精神與範圍下,可有許多變化、取代、及替代等。
在其他實施例中,半導體基底可包括磊晶層。例如,基底可具有位於塊材半導體(bulk semiconductor)上之一磊晶層。再者,基底可被施加應變以增進效能。例如,磊晶層可包括不同於塊材半導體之半導體材料,例如是位於塊材矽上之矽鍺層或位於塊材矽鍺上之矽層,其可由例如是藉由選擇性磊晶成長(SEG)製程而形成。再者,基底可包括絕緣層上半導體(semiconductor-on-insulator,SOI)結構,例如包括埋藏介電層(buried dielectric layer)。或者,基底可包括埋藏介電層,例如是埋藏氧化層(buried oxide,BOX),其例如可藉由稱為氧植入隔離法(separation by implantation of oxygen,SIMOX)之技術、晶圓接合(wafer bonding)、選擇性磊晶成長(selective epitaxial growth,SEG)、或其他適合方法而形成。
因此,本發明實施例提供形成半導體元件之金屬閘極堆疊的方法。方法包括於半導體基底上形成高介電常數材料層;於高介電常數材料層上形成導電材料層;於n型場效電晶體(nFET)區中形成第一虛置閘極及於p型場效電晶體(pFET)區中形成第二虛置閘極;於半導體基底上形成層間介電(inter-level dielectric,ILD)材料;對半導體基底進行第一化學機械研磨製程;自第一虛置閘極移除多晶矽以形成第一閘極溝槽;形成n型金屬至第一閘極溝槽;對半導體基底進行第二化學機械研磨製程;自第二虛置閘極移除多晶矽以形成第二閘極溝槽;形成p型金屬至第二閘極溝槽;以及對半導體基底進行第三化學機械研磨製程。
本發明實施例之方法可更包括形成圖案化光阻層以於自第一虛置閘極移除多晶矽之前,覆蓋p型場效電晶體(pFET)區。導電材料層之形成可包括於高介電常數材料層上形成氮化鈦層。方法可更包括於導電材料層上形成氮化鉭層。方法可更包括在p型場效電晶體(pFET)區中形成圖案化氮化鎢層。n型金屬之形成可包括形成氮化鉭層,以及形成導電層,導電層可包括鈦鋁(titanium aluminum)、氮化鈦鋁(titanium aluminum nitride)、或前述之組合。p型金屬之形成可包括形成第二氮化鈦層,以及於第二氮化鈦層上形成氮化鎢層。
本發明還提供具有金屬閘極堆疊之積體電路的實施例。積體電路包括半導體基底;位於半導體基底上具有高介電常數材料層之n型場效電晶體之第一閘極堆疊;位於高介電常數材料層上之氮化鈦層;位於氮化鈦層上之第一金屬層;以及位於第一金屬層上之第二金屬層。積體電路還包括位於半導體基底上具有高介電常數材料層之p型場效電晶體之第二閘極堆疊;位於高介電常數材料層上之氮化鈦層;位於氮化鈦層上之第一金屬層;位於第一金屬層上之第三金屬層;以及位於第三金屬層上之第二金屬層。第一金屬層可包括氮化鉭。第二金屬層可包括鈦鋁、氮化鈦鋁、或前述之組合。第三金屬層可包括氮化鎢。
本發明還提供具有金屬閘極堆疊之積體電路的另一實施例。積體電路包括半導體基底;位於半導體基底上具有高介電常數材料層之n型場效電晶體之第一閘極堆疊;位於高介電常數材料層上之氮化鈦層;位於氮化鈦層上之第一金屬層;以及位於第一金屬層上之第二金屬層。積體電路還包括位於半導體基底上具有高介電常數材料層之p型場效電晶體之第二閘極堆疊;位於高介電常數材料層上之氮化鈦層;位於第一金屬層上之第三金屬層;以及位於第三金屬層上之第四金屬層。
在本發明實施例之積體電路中,第一金屬層可包括氮化鉭。第二金屬層可包括鈦鋁、氮化鈦鋁、或前述之組合。第三金屬層可包括氮化鈦。第四金屬層可包括氮化鎢。
本發明其他實施例還提供製作半導體元件之金屬閘極堆疊的方法。方法包括於半導體基底上形成高介電常數材料層;於高介電常數材料層上形成導電材料層;採用多晶矽於n型場效電晶體區形成第一虛置閘極及於p型場效電晶體區形成第二虛置閘極;於半導體基底上形成層間介電材料;對半導體基底進行第一化學機械研磨製程;自第一虛置閘極及第二虛置閘極移除多晶矽而分別形成第一閘極溝槽及第二閘極溝槽;在第一閘極溝槽及第二閘極溝槽上形成n型金屬層;對半導體基底進行第二化學機械研磨製程;自第二閘極溝槽移除n型金屬層;形成p型金屬至第二閘極溝槽;以及對半導體基底進行第三化學機械研磨製程。
在各種實施例中,n型金屬層之移除可更包括形成圖案化光阻層以覆蓋n型電晶體區。導電材料層之形成可包括於高介電常數材料層上形成氮化鈦層。n型金屬之形成可包括形成氮化鉭層,以及於氮化鉭層上形成鈦鋁層、氮化鈦鋁層、或前述之組合。p型金屬之形成可包括形成氮化鉭層、於氮化鉭層上形成氮化鎢層、以及於氮化鎢層上形成鈦鋁層、氮化鈦鋁層、或前述之組合。p型金屬之形成亦可包括形成氮化鈦層,以及於氮化鈦層上形成氮化鎢層。
本發明還提供其他製作半導體元件之金屬閘極堆疊之方法的實施例。方法包括提供於第一型式場效電晶體(first type FET)中具有第一閘極溝槽及於第二型式場效電晶體(second type FET)中具有第二閘極溝槽之半導體基底;於第一閘極溝槽中形成圖案化金屬結構(patterned metal feature);以及之後於第一閘極溝槽及第二閘極溝槽中皆形成第一金屬層。
方法更包括於第一閘極溝槽及第二閘極溝槽中皆填充第二金屬層;以及對半導體基底進行化學機械研磨製程。半導體基底之提供包括於半導體基底上形成高介電常數材料層;於高介電常數材料層上形成導電材料層;於導電材料層上形成多晶矽層;將多晶矽層、導電材料層、及高介電常數材料層圖案化以於第一型式場效電晶體之第一虛置閘極堆疊及第二型式場效電晶體之第二虛置閘極堆疊;於半導體基底上形成層間介電材料;對半導體基底進行化學機械研磨製程;以及自第一虛置閘極堆疊及第二虛置閘極堆疊移除多晶矽以分別形成第一閘極溝槽及第二閘極溝槽。在許多實施例中,第一型式場效電晶體包括n型場效電晶體或p型場效電晶體,而第二型式場效電晶體包括另一種型式之場效電晶體,包括p型場效電晶體或n型場效電晶體。
本發明還提供具有金屬閘極堆疊之積體電路的另一實施例。積體電路包括半導體基底;具有高介電常數材料層之n型場效電晶體的第一閘極堆疊;位於高介電常數材料層上之第一金屬層;以及位於第一金屬層上之第二金屬層。積體電路還包括具有高介電常數材料層之p型場效電晶體的第二閘極堆疊;以及位於高介電常數材料層及第一金屬層上之第二金屬層及第三金屬層。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100、160...方法
101、102、103、104、105、106、107、108、109、162、164、166、168、170、172、174、176、178...步驟
120、140、200、202、204、206、210、212、214、216、218、220...半導體結構
230...半導體基底
231a...nFET區(或NMOS電晶體)
231b...pFET區
232...高介電常數材料層
234、240...金屬層
236、256...氮化鉭層
238、254...氮化鎢層
240、252...鈦鋁層(或氮化鈦鋁層)
242...金屬材料
250...氮化鈦層
第1圖顯示根據本發明實施例之製作具有金屬閘極堆疊之半導體元件的方法流程圖。
第2圖顯示一實施例之具有金屬閘極堆疊之半導體結構在一製程階段的剖面圖。
第3圖顯示另一實施例之具有金屬閘極堆疊之半導體結構在一製程階段的剖面圖。
第4圖顯示根據本發明另一實施例之製作具有金屬閘極堆疊之半導體元件的方法流程圖。
第5a-5d圖顯示根據本發明不同實施例之具有金屬閘極堆疊之半導體結構在一製程階段的剖面圖。
第6a-6f圖顯示根據本發明不同實施例之具有金屬閘極堆疊之半導體結構在一製程階段的剖面圖。
表1及表2提供根據本發明許多實施例之高介電常數材料層及金屬閘極堆疊的許多方案。
160...方法
162、164、166、168、170、172、174、176、178...步驟
Claims (22)
- 一種半導體元件之金屬閘極堆疊的形成方法,包括:於一半導體基底上形成一高介電常數材料層;於該高介電常數材料層上形成一導電材料層;採用一多晶矽於一n型場效電晶體區形成一第一虛置閘極及於一p型場效電晶體區形成一第二虛置閘極;於該半導體基底上形成一層間介電材料;對該半導體基底進行一第一化學機械研磨製程;自該第一虛置閘極移除該多晶矽以形成一第一閘極溝槽;形成一n型金屬至該第一閘極溝槽;對該半導體基底進行一第二化學機械研磨製程;自該第二虛置閘極移除該多晶矽以形成一第二閘極溝槽;形成一p型金屬至該第二閘極溝槽;以及對該半導體基底進行一第三化學機械研磨製程。
- 如申請專利範圍第1項所述之半導體元件之金屬閘極堆疊的形成方法,更包括於自該第一虛置閘極移除該多晶矽之前,形成一圖案化光阻層以覆蓋該p型場效電晶體區。
- 如申請專利範圍第1項所述之半導體元件之金屬閘極堆疊的形成方法,其中該導電材料層之形成包括於該高介電常數材料層上形成一氮化鈦層。
- 如申請專利範圍第1項所述之半導體元件之金屬閘極堆疊的形成方法,更包括於該導電材料層上形成一氮化鉭層。
- 如申請專利範圍第1項所述之半導體元件之金屬閘極堆疊的形成方法,更包括於該p型場效電晶體區中形成一圖案化氮化鎢層。
- 如申請專利範圍第1項所述之半導體元件之金屬閘極堆疊的形成方法,其中該n型金屬之形成包括:形成一氮化鉭層;以及形成一導電層,該導電層包括鈦鋁、氮化鈦鋁、或前述之組合。
- 如申請專利範圍第1項所述之半導體元件之金屬閘極堆疊的形成方法,其中該p型金屬之形成包括:形成一第二氮化鈦層;以及於該第二氮化鈦層上形成一氮化鎢層。
- 一種具有金屬閘極堆疊之積體電路,包括:一半導體基底;一n型場效電晶體之一第一閘極堆疊,包括:一高介電常數材料層,位於該半導體基底上;一氮化鈦層,位於該高介電常數材料層上;一第一金屬層,位於該氮化鈦層上;以及一第二金屬層,位於該第一金屬層上;以及一p型場效電晶體之一第二閘極堆疊,包括:該高介電常數材料層,位於該半導體基底上;該氮化鈦層,位於該高介電常數材料層上;一第三金屬層,位於該氮化鈦層上,其中該第三金屬層不同於該第一金屬層及該第二金屬層;以及一第四金屬層,位於該第三金屬層上。
- 如申請專利範圍第8項所述之具有金屬閘極堆疊之積體電路,其中該第二閘極堆疊更包括夾置於該第三金屬層與該氮化鈦層之間之該第一金屬層。
- 如申請專利範圍第9項所述之具有金屬閘極堆疊之積體電路,其中該第二金屬層及該第四金屬層之成分大抵相同。
- 如申請專利範圍第8項所述之具有金屬閘極堆疊之積體電路,其中該第一金屬層包括氮化鉭。
- 如申請專利範圍第8項所述之具有金屬閘極堆疊之積體電路,其中該第二金屬層包括鈦鋁、氮化鈦鋁、或前述之組合。
- 如申請專利範圍第8項所述之具有金屬閘極堆疊之積體電路,其中該第三金屬層包括氮化鈦及氮化鎢其中之一。
- 一種半導體元件之金屬閘極堆疊的形成方法,包括:於一半導體基底上形成一高介電常數材料層;於該高介電常數材料層上形成一導電材料層;採用一多晶矽於一n型場效電晶體區形成一第一虛置閘極及於一p型場效電晶體區形成一第二虛置閘極;於該半導體基底上形成一層間介電材料;對該半導體基底進行一第一化學機械研製程;自該第一虛置閘極及該第二虛置閘極移除該多晶矽以分別形成一第一閘極溝槽及一第二閘極溝槽;在該第一閘極溝槽及該第二閘極溝槽上形成一n型金屬層;對該半導體基底進行一第二化學機械研磨製程;自該第二閘極溝槽移除該n型金屬層;形成一p型金屬層至該第二閘極溝槽;以及對該半導體基底進行一第三化學機械研磨製程。
- 如申請專利範圍第14項所述之半導體元件之金屬閘極堆疊的形成方法,其中該n型金屬層之移除更包括形成一圖案化光阻層以覆蓋該n型場效電晶體區。
- 如申請專利範圍第14項所述之半導體元件之金屬閘極堆疊的形成方法,其中該導電材料層之形成包括於該高介電常數材料層上形成一氮化鈦層。
- 如申請專利範圍第14項所述之半導體元件之金屬閘極堆疊的形成方法,其中該n型金屬層之形成包括:形成一氮化鉭層;以及於該氮化層上形成一鈦鋁層、一氮化鈦鋁層、或前述之組合。
- 如申請專利範圍第14項所述之半導體元件之金屬閘極堆疊的形成方法,其中該p型金屬層之形成包括:形成一氮化鉭層;於該氮化鉭層上形成一氮化鎢層;以及於該氮化鎢層上形成一鈦鋁層、一氮化鈦鋁層、或前述之組合。
- 如申請專利範圍第14項所述之半導體元件之金屬閘極堆疊的形成方法,其中該p型金屬之形成包括:形成一氮化鈦層;以及於該氮化鈦層上形成一氮化鎢層。
- 一種半導體元件之金屬閘極堆疊的形成方法,包括:提供一半導體基底,該半導體基底於一第一型式場效電晶體中具有一第一閘極溝槽及於一第二型式場效電晶體中具有一第二閘極溝槽;於該第一閘極溝槽中形成一圖案化金屬結構;以及之後於該第一閘極溝槽及該第二閘極溝槽中皆形成一第一金屬層。
- 如申請專利範圍第20項所述之半導體元件之金屬閘極堆疊的形成方法,更包括:填充一第二金屬層至該第一閘極溝槽及該第二閘極溝槽;以及對該半導體基底進行一化學機械研磨製程。
- 如申請專利範圍第20項所述之半導體元件之金屬閘極堆疊的形成方法,其中該半導體基底之提供包括:於該半導體基底上形成一高介電常數材料層;於該高介電常數材料層上形成一導電材料層;於該導電材料層上形成一多晶矽層;將該多晶矽層、該導電材料層、及該高介電常數材料層圖案化以形成該第一型式場效電晶體之一第一虛置閘極堆疊及該第二型式場效電晶體之一第二虛置閘極堆疊;於該半導體基底上形成一層間介電材料;對該半導體基底進行一第一化學機械研磨製程;以及自該第一虛置閘極堆疊及該第二虛置閘極堆疊移除該多晶矽層以分別形成該第一閘極溝槽及該第二閘極溝槽。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US9230508P | 2008-08-27 | 2008-08-27 | |
US12/536,878 US8058119B2 (en) | 2008-08-27 | 2009-08-06 | Device scheme of HKMG gate-last process |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201019380A TW201019380A (en) | 2010-05-16 |
TWI412070B true TWI412070B (zh) | 2013-10-11 |
Family
ID=41724040
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW098128776A TWI412070B (zh) | 2008-08-27 | 2009-08-27 | 金屬閘極堆疊的形成方法及具有金屬閘極堆疊之積體電路 |
Country Status (3)
Country | Link |
---|---|
US (2) | US8058119B2 (zh) |
CN (1) | CN101707190B (zh) |
TW (1) | TWI412070B (zh) |
Families Citing this family (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101075490B1 (ko) * | 2009-01-30 | 2011-10-21 | 주식회사 하이닉스반도체 | 매립게이트를 구비한 반도체장치 및 그 제조 방법 |
US8294202B2 (en) * | 2009-07-08 | 2012-10-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate structure of a semiconductor device |
US9029227B2 (en) * | 2011-03-01 | 2015-05-12 | Globalfoundries Singapore Pte. Ltd. | P-channel flash with enhanced band-to-band tunneling hot electron injection |
US9166020B2 (en) * | 2011-03-01 | 2015-10-20 | United Microelectronics Corp. | Metal gate structure and manufacturing method thereof |
US20120228773A1 (en) * | 2011-03-08 | 2012-09-13 | International Business Machines Corporation | Large-grain, low-resistivity tungsten on a conductive compound |
US20120261770A1 (en) * | 2011-04-14 | 2012-10-18 | Kun-Hsien Lin | Metal gate structure |
CN102760758A (zh) * | 2011-04-26 | 2012-10-31 | 联华电子股份有限公司 | 金属栅极结构 |
DE102011077661B4 (de) | 2011-06-16 | 2016-08-11 | Globalfoundries Inc. | Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie |
US20120319179A1 (en) * | 2011-06-16 | 2012-12-20 | Hsin-Fu Huang | Metal gate and fabrication method thereof |
US8580625B2 (en) * | 2011-07-22 | 2013-11-12 | Tsuo-Wen Lu | Metal oxide semiconductor transistor and method of manufacturing the same |
CN103151250B (zh) * | 2011-12-06 | 2016-04-06 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制造方法 |
WO2013101007A1 (en) | 2011-12-28 | 2013-07-04 | Intel Corporation | Methods of integrating multiple gate dielectric transistors on a tri-gate (finfet) process |
US9093559B2 (en) * | 2012-03-09 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of hybrid high-k/metal-gate stack fabrication |
CN103325683A (zh) * | 2012-03-23 | 2013-09-25 | 联华电子股份有限公司 | 鳍状场效晶体管及其工艺 |
US8987080B2 (en) | 2012-04-26 | 2015-03-24 | Applied Materials, Inc. | Methods for manufacturing metal gates |
US8877623B2 (en) * | 2012-05-14 | 2014-11-04 | United Microelectronics Corp. | Method of forming semiconductor device |
US8921171B2 (en) * | 2012-07-16 | 2014-12-30 | Institute of Microelectronics, Chinese Academy of Sciences | Method for forming gate structure, method for forming semiconductor device, and semiconductor device |
US8846474B2 (en) | 2012-08-20 | 2014-09-30 | Tokyo Electron Limited | Dual workfunction semiconductor devices and methods for forming thereof |
KR101913765B1 (ko) | 2012-09-14 | 2018-12-28 | 삼성전자 주식회사 | 반도체 장치 및 그 제조 방법 |
US20140162447A1 (en) * | 2012-12-10 | 2014-06-12 | International Business Machines Corporation | Finfet hybrid full metal gate with borderless contacts |
KR102066851B1 (ko) | 2013-02-25 | 2020-02-11 | 삼성전자 주식회사 | 반도체 장치 및 그 제조 방법 |
US9105720B2 (en) | 2013-09-11 | 2015-08-11 | United Microelectronics Corp. | Semiconductor device having metal gate and manufacturing method thereof |
US9385000B2 (en) * | 2014-01-24 | 2016-07-05 | United Microelectronics Corp. | Method of performing etching process |
CN104867874B (zh) | 2014-02-21 | 2018-09-25 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制造方法 |
US9991124B2 (en) * | 2015-01-20 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Metal gate and manufacturing method thereof |
KR102306674B1 (ko) | 2015-03-17 | 2021-09-29 | 삼성전자주식회사 | 반도체 소자 및 그 제조방법 |
US9941376B2 (en) | 2015-04-30 | 2018-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate scheme for device and methods of forming |
CN104900505A (zh) * | 2015-06-29 | 2015-09-09 | 上海华力微电子有限公司 | 一种高k金属栅极结构的制作方法 |
CN106409830B (zh) * | 2015-07-27 | 2020-05-05 | 联华电子股份有限公司 | 具有金属栅极的半导体元件及其制作方法 |
US9871114B2 (en) * | 2015-09-30 | 2018-01-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate scheme for device and methods of forming |
CN106847694B (zh) | 2015-12-03 | 2019-09-27 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件的形成方法 |
US10460993B2 (en) * | 2017-11-30 | 2019-10-29 | Intel Corporation | Fin cut and fin trim isolation for advanced integrated circuit structure fabrication |
CN109904233B (zh) * | 2019-02-02 | 2022-04-26 | 中国科学院微电子研究所 | 金属栅功函数的调节方法及mosfet的制备方法 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6586288B2 (en) * | 2000-11-16 | 2003-07-01 | Hynix Semiconductor Inc. | Method of forming dual-metal gates in semiconductor device |
US20050110098A1 (en) * | 2002-03-15 | 2005-05-26 | Takuya Yoshihara | Semiconductor device and its manufacturing method |
US20050253173A1 (en) * | 2004-04-27 | 2005-11-17 | Chih-Hao Wang | Dual work-function metal gates |
US20070066077A1 (en) * | 2005-09-22 | 2007-03-22 | Yasushi Akasaka | Method for manufacturing semiconductor device |
TW200939399A (en) * | 2008-03-12 | 2009-09-16 | Taiwan Semiconductor Mfg | Hybrid process for forming metal gates of MOS devices |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6255698B1 (en) * | 1999-04-28 | 2001-07-03 | Advanced Micro Devices, Inc. | Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit |
US6410376B1 (en) * | 2001-03-02 | 2002-06-25 | Chartered Semiconductor Manufacturing Ltd. | Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration |
US6365450B1 (en) * | 2001-03-15 | 2002-04-02 | Advanced Micro Devices, Inc. | Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate |
US6797599B2 (en) * | 2001-08-31 | 2004-09-28 | Texas Instruments Incorporated | Gate structure and method |
JP3634320B2 (ja) | 2002-03-29 | 2005-03-30 | 株式会社東芝 | 半導体装置及び半導体装置の製造方法 |
JP2004152995A (ja) * | 2002-10-30 | 2004-05-27 | Toshiba Corp | 半導体装置の製造方法 |
US6858483B2 (en) | 2002-12-20 | 2005-02-22 | Intel Corporation | Integrating n-type and p-type metal gate transistors |
US20050250258A1 (en) * | 2004-05-04 | 2005-11-10 | Metz Matthew V | Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode |
US7390709B2 (en) * | 2004-09-08 | 2008-06-24 | Intel Corporation | Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode |
US7902058B2 (en) * | 2004-09-29 | 2011-03-08 | Intel Corporation | Inducing strain in the channels of metal gate transistors |
JP2008288226A (ja) * | 2007-05-15 | 2008-11-27 | Renesas Technology Corp | 半導体装置およびその製造方法 |
US8685811B2 (en) * | 2008-01-14 | 2014-04-01 | United Microelectronics Corp. | Method for manufacturing a CMOS device having dual metal gate |
US7838366B2 (en) * | 2008-04-11 | 2010-11-23 | United Microelectronics Corp. | Method for fabricating a metal gate structure |
US7888195B2 (en) * | 2008-08-26 | 2011-02-15 | United Microelectronics Corp. | Metal gate transistor and method for fabricating the same |
-
2009
- 2009-08-06 US US12/536,878 patent/US8058119B2/en active Active
- 2009-08-27 CN CN2009101683391A patent/CN101707190B/zh active Active
- 2009-08-27 TW TW098128776A patent/TWI412070B/zh active
-
2011
- 2011-11-09 US US13/292,665 patent/US8487382B2/en active Active
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6586288B2 (en) * | 2000-11-16 | 2003-07-01 | Hynix Semiconductor Inc. | Method of forming dual-metal gates in semiconductor device |
US20050110098A1 (en) * | 2002-03-15 | 2005-05-26 | Takuya Yoshihara | Semiconductor device and its manufacturing method |
US20050253173A1 (en) * | 2004-04-27 | 2005-11-17 | Chih-Hao Wang | Dual work-function metal gates |
US20070066077A1 (en) * | 2005-09-22 | 2007-03-22 | Yasushi Akasaka | Method for manufacturing semiconductor device |
TW200939399A (en) * | 2008-03-12 | 2009-09-16 | Taiwan Semiconductor Mfg | Hybrid process for forming metal gates of MOS devices |
US20090230479A1 (en) * | 2008-03-12 | 2009-09-17 | Peng-Fu Hsu | Hybrid Process for Forming Metal Gates of MOS Devices |
Also Published As
Publication number | Publication date |
---|---|
TW201019380A (en) | 2010-05-16 |
CN101707190B (zh) | 2012-10-03 |
US8487382B2 (en) | 2013-07-16 |
CN101707190A (zh) | 2010-05-12 |
US20120056269A1 (en) | 2012-03-08 |
US20100052070A1 (en) | 2010-03-04 |
US8058119B2 (en) | 2011-11-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI412070B (zh) | 金屬閘極堆疊的形成方法及具有金屬閘極堆疊之積體電路 | |
US11018241B2 (en) | Polysilicon design for replacement gate technology | |
US8324668B2 (en) | Dummy structure for isolating devices in integrated circuits | |
US8575727B2 (en) | Gate structures | |
US8389359B2 (en) | Method for forming low resistance and uniform metal gate | |
US8119473B2 (en) | High temperature anneal for aluminum surface protection | |
US7947588B2 (en) | Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode | |
US9349655B2 (en) | Method for mechanical stress enhancement in semiconductor devices | |
KR102034261B1 (ko) | 로직 셀 구조물 및 방법 | |
US8058125B1 (en) | Poly resistor on a semiconductor device | |
US20100078728A1 (en) | Raise s/d for gate-last ild0 gap filling | |
US9219124B2 (en) | Metal gate semiconductor device | |
TWI783302B (zh) | 半導體裝置及其形成方法 | |
US8766370B2 (en) | Single metal dual dielectric CMOS device |