CN103352205A - 化学气相沉积室的清洁方法 - Google Patents

化学气相沉积室的清洁方法 Download PDF

Info

Publication number
CN103352205A
CN103352205A CN2013102136374A CN201310213637A CN103352205A CN 103352205 A CN103352205 A CN 103352205A CN 2013102136374 A CN2013102136374 A CN 2013102136374A CN 201310213637 A CN201310213637 A CN 201310213637A CN 103352205 A CN103352205 A CN 103352205A
Authority
CN
China
Prior art keywords
chemical vapor
vapor deposition
cvd
cavity
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013102136374A
Other languages
English (en)
Other versions
CN103352205B (zh
Inventor
朱亚丹
周军
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huali Microelectronics Corp
Original Assignee
Shanghai Huali Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huali Microelectronics Corp filed Critical Shanghai Huali Microelectronics Corp
Priority to CN201310213637.4A priority Critical patent/CN103352205B/zh
Priority to US14/040,739 priority patent/US8974602B2/en
Publication of CN103352205A publication Critical patent/CN103352205A/zh
Application granted granted Critical
Publication of CN103352205B publication Critical patent/CN103352205B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/02Cleaning pipes or tubes or systems of pipes or tubes
    • B08B9/027Cleaning the internal surfaces; Removal of blockages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了一种化学气相沉积室的清洁方法,包括通入NF3对腔体进行清洗;通入N2对腔体进行清洗;通入O2对腔体进行清洗;通入C2H2和惰性气体的混合气体,在腔体内加热垫表面沉积一层非晶碳膜。本发明提供的化学气相沉积室的清洁方法通过将加热垫表面的AlxFyOz上沉积一层非晶碳膜,从而将该金属污染物包裹起来,解决晶圆进入机台后,加热垫上的金属颗粒粘附在晶圆背面,造成晶圆背面金属污染物超标的问题,同时,也解决晶圆进入机台后沉淀薄膜时,晶圆表面微小颗粒数量较多的问题。

Description

化学气相沉积室的清洁方法
技术领域
本发明涉及半导体制造领域,尤其涉及一种化学气相沉积室的清洁方法。
背景技术
集成电路尤其是超大规模集成电路中的主要器件是金属-氧化物-半导体场效应晶体管(metal oxide semiconductor field effect transistor,简称MOS晶体管)。其几何尺寸一直在不断缩小,随着器件尺寸的不断缩小,铝互连早已被铜互连所取代,栅极使用的硅化物从钨化物、钛化物、钴化物一路发展到镍化物,甚至金属栅(Al2O3,Ta2O5等)。而在新一代的技术中,钌作为电镀种子层和锰作为铜阻挡层的出现更是使晶体管制造中使用的金属数量更加丰富,从而也使器件制造有了更多的选择。
但是与此同时,如果在工艺过程中这些金属沾污在硅片的背面,就会造成后续工艺设备的沾污,进入后续设备的硅片也会被设备沾污,从而造成硅片和设备的交叉沾污。而在晶体管制造的过程中部分工艺需要在相当高的温度(甚至高于1000℃)下进行,这些金属就会扩散进入硅片内部,从而造成整个器件的失效。因此,硅片背面的金属沾污控制是非常关键、非常必要的。
化学气相沉积设备在硅片上沉积薄膜前都需要对腔体进行清洗,去除腔体中积累的沉积膜及悬浮在腔体中的微粒。在清洗过程中,常需要在腔体中通入清洗气体NF3,NF3在等离子体中电离出氟离子并与腔体壁和加热盘(Heater)上的沉积膜反应生成含氟气体,然后被泵抽走,达到清洁腔体的目的。而为了使腔体的氛围接近真实沉积薄膜时的环境,通常需要在腔体中沉积一层薄膜(Season环境膜)。申请人在使用应用材料公司非晶碳膜(APF)机台沉积APF薄膜时,全X射线反射荧光测试(TXRF)发现了硅片背面金属铝含量严重超出了业界的标准(铝<1e11atom/cm2,其他金属<5e10atom/cm2)。通过研究实验发现应用材料公司提供的清洗腔体最优方案中,清洗气体NF3在等离子场中产生的氟离子与加热盘所用材料AlN发生反应,在加热盘表面生成了一层很薄的AlxFyOz薄膜。在腔体清洗后,通入N2和C2H2气体进行薄膜沉积,在AlxFyOz之上沉积一层非晶碳。但是N2会与AlxFyOz反应,析出AlN,从而导致环境膜的表面含有铝。当硅片进入机台进行非晶碳薄膜沉积时,硅片背面与环境膜接触,导致硅片背面产生超过1e11atom/cm2的铝沾污。
发明内容
为了解决上述现有技术存在的问题,本发明提供了一种化学气相沉积室的清洁方法。
本发明化学气相沉积室的清洁方法包括以下步骤:
步骤S01,通入含有NF3的清洗气体对化学气相沉积室的腔体进行清洗;
步骤S02,通入含有N2的清洗气体对化学气相沉积室的腔体进行清洗;
步骤S03,通入含有O2的清洗气体对化学气相沉积室的腔体进行清洗;
步骤S04,通入含有C2H2和惰性气体的混合气体,在高频电源作用下电离,在腔体内加热垫表面沉积一层非晶碳膜。
进一步地,步骤S01中的清洗气体包括NF3、O2和惰性气体,该清洗气体通过远程等离子体源(RPS)对化学气相沉积室的腔体进行清洗。
进一步地,步骤S01中远程等离子体源的功率是4000-7000W,加热盘(Heater)与面板(Faceplate)的距离为1-1000mm,NF3的流量是200-700sccm,O2的流量是6000-9000sccm,惰性气体的流量是2000-8000sccm。
进一步地,步骤S02中的清洗气体包括N2和惰性气体,该清洗气体通过高频等离子体源对化学气相沉积室的腔体进行清洗。
进一步地,步骤S02中高频离子体源的功率是500-1600W,N2和惰性气体的流量均是1000-5000sccm,清洗气体的作用时间是0-100s。
进一步地,步骤S03中的清洗气体包括O2和惰性气体,该清洗气体通过高频等离子体源对化学气相沉积室的腔体进行清洗。
进一步地,步骤S03中高频离子体源的功率是800-1200W,O2和惰性气体的流量均是3000-5000sccm,清洗气体的作用时间是10-100s。
进一步地,步骤S04中的混合气体通过高频等离子体源对化学气相沉积室的腔体进行清洗。
进一步地,步骤S04中高频离子体源的功率是800-1200W,C2H2和惰性气体的流量均是300-10000sccm。
进一步地,该惰性气体是He、Ne、Ar、Kr、Xe或Rn中的一种或多种的混合。
进一步地,步骤S01的惰性气体为Ar,步骤S02和步骤S03的惰性气体为He,步骤S04惰性气体为He和Ar的混合。
本发明提供的化学气相沉积室的清洁方法通过将加热垫表面的AlxFyOz上沉积一层非晶碳膜,从而将该金属污染物包裹起来,解决晶圆进入机台后,加热垫上的金属颗粒粘附在晶圆背面,造成晶圆背面金属污染物超标的问题,同时,也解决晶圆进入机台后沉淀薄膜时,晶圆表面微小颗粒数量较多的问题。
附图说明
为能更清楚理解本发明的目的、特点和优点,以下将结合附图对本发明的较佳实施例进行详细描述,其中:
图1是本发明与现有技术工艺完成后的硅片背面Al含量的对比示意图;
图2是本发明与现有技术工艺完成后的尺寸大于0.12μm颗粒数量对比示意图。
具体实施方式
第一实施例
本实施例中,化学气相沉积室的清洁方法包括以下步骤:
步骤S01,通入含有NF3、O2和Ar的清洗气体,通过远程等离子体源(RPS)对化学气相沉积室的加热垫上表面、加热垫下表面以及腔壁进行清洗,清洗气体与腔体中的沉积物发生反应,抽走残余气体。
其中,远程等离子体源的功率是5000W,加热盘与面板的距离为50mm,NF3的流量是300sccm,O2的流量是7000sccm,惰性气体的流量是5000sccm。
步骤S02,通入含有N2和He的清洗气体,通过高频等离子体源对化学气相沉积室的腔体进行清洗,将残留的颗粒带走。
其中,高频离子体源的功率是800W,N2和He的流量均是3000sccm,清洗气体的作用时间是50s。
步骤S03,通入含有O2和He的清洗气体,通过高频等离子体源对化学气相沉积室的腔体进行清洗。
其中,高频离子体源的功率是1000W,O2和惰性气体的流量均是4000sccm,清洗气体的作用时间是70s。
步骤S04,通入含有C2H2、He和Ar的混合气体,在高频电源作用下电离,在腔体内加热垫表面沉积一层非晶碳膜,以将金属污染物AlxFyOz覆盖住。
其中,高频离子体源的功率是1000W,C2H2、He和Ar的流量均是2000sccm。
效果实施例1
本效果实施例将上述第一实施例的工艺对比现有工艺的技术效果,该现有工艺包括在使用NF3清洗腔体后,使用N2和C2H2进行环境膜的沉积。
请参阅图1,现有工艺a完成后,进入化学气相沉积室腔体内的硅片背面的Al含量为2400e10atom/cm2,而本发明第一实施例工艺b完成后,进入化学气相沉积室腔体内的硅片背面的Al含量小于5e10atom/cm2,符合要求,能够用于实际生产制造。
效果实施例2
本效果实施例将上述第一实施例的工艺对比现有工艺的技术效果,该现有工艺包括在使用NF3清洗腔体后,使用N2和C2H2进行环境膜的沉积。
请参阅图2,现有工艺c完成后,进入化学气相沉积室腔体内的硅片表面的颗粒要高于本发明第一实施例工艺d完成后的颗粒数量,本发明工艺符合要求,能够用于实际生产制造。

Claims (11)

1.一种化学气相沉积室的清洁方法,其特征在于,包括以下步骤:
步骤S01,通入含有NF3的清洗气体对化学气相沉积室的腔体进行清洗;
步骤S02,通入含有N2的清洗气体对化学气相沉积室的腔体进行清洗;
步骤S03,通入含有O2的清洗气体对化学气相沉积室的腔体进行清洗;
步骤S04,通入含有C2H2和惰性气体的混合气体,在高频电源作用下电离,在腔体内加热垫表面沉积一层非晶碳膜。
2.根据权利要求1所述的化学气相沉积室的清洁方法,其特征在于:步骤S01中的清洗气体包括NF3、O2和惰性气体,该清洗气体通过远程等离子体源对化学气相沉积室的腔体进行清洗。
3.根据权利要求2所述的化学气相沉积室的清洁方法,其特征在于:步骤S01中远程等离子体源的功率是4000-7000W,加热盘与面板的距离为1-1000mm,NF3的流量是200-700sccm,O2的流量是6000-9000sccm,惰性气体的流量是2000-8000sccm。
4.根据权利要求1所述的化学气相沉积室的清洁方法,其特征在于:步骤S02中的清洗气体包括N2和惰性气体,该清洗气体通过高频等离子体源对化学气相沉积室的腔体进行清洗。
5.根据权利要求4所述的化学气相沉积室的清洁方法,其特征在于:步骤S02中高频离子体源的功率是500-1600W,N2和惰性气体的流量均是1000-5000sccm,清洗气体的作用时间是0-100s。
6.根据权利要求1所述的化学气相沉积室的清洁方法,其特征在于:步骤S03中的清洗气体包括O2和惰性气体,该清洗气体通过高频等离子体源对化学气相沉积室的腔体进行清洗。
7.根据权利要求6所述的化学气相沉积室的清洁方法,其特征在于:步骤S03中高频离子体源的功率是800-1200W,O2和惰性气体的流量均是3000-5000sccm,清洗气体的作用时间是10-100s。
8.根据权利要求1所述的化学气相沉积室的清洁方法,其特征在于:步骤S04中的混合气体通过高频等离子体源对化学气相沉积室的腔体进行清洗。
9.根据权利要求8所述的化学气相沉积室的清洁方法,其特征在于:步骤S04中高频离子体源的功率是800-1200W,C2H2和惰性气体的流量均是300-10000sccm。
10.根据权利要求1至9任一项所述的化学气相沉积室的清洁方法,其特征在于:该惰性气体是He、Ne、Ar、Kr、Xe或Rn中的一种或多种的混合。
11.根据权利要求10所述的化学气相沉积室的清洁方法,其特征在于:步骤S01的惰性气体为Ar,步骤S02和步骤S03的惰性气体为He,步骤S04惰性气体为He和Ar的混合。
CN201310213637.4A 2013-05-31 2013-05-31 化学气相沉积室的清洁方法 Active CN103352205B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201310213637.4A CN103352205B (zh) 2013-05-31 2013-05-31 化学气相沉积室的清洁方法
US14/040,739 US8974602B2 (en) 2013-05-31 2013-09-30 Method of reducing contamination in CVD chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310213637.4A CN103352205B (zh) 2013-05-31 2013-05-31 化学气相沉积室的清洁方法

Publications (2)

Publication Number Publication Date
CN103352205A true CN103352205A (zh) 2013-10-16
CN103352205B CN103352205B (zh) 2015-11-25

Family

ID=49308614

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310213637.4A Active CN103352205B (zh) 2013-05-31 2013-05-31 化学气相沉积室的清洁方法

Country Status (2)

Country Link
US (1) US8974602B2 (zh)
CN (1) CN103352205B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103556127A (zh) * 2013-11-13 2014-02-05 上海华力微电子有限公司 一种气相沉积成膜设备的清洗方法
CN104498909A (zh) * 2014-12-05 2015-04-08 信利(惠州)智能显示有限公司 一种cvd成膜方法
CN105132887A (zh) * 2015-06-19 2015-12-09 广东汉能薄膜太阳能有限公司 一种真空镀膜设备中Zn杂质元素的去除方法
CN105344663A (zh) * 2015-09-30 2016-02-24 景旺电子科技(龙川)有限公司 一种fpc板的等离子清洗方法
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
CN106435470A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种实现自动清洗的烘烤腔结构及其自动清洗方法
CN110331440A (zh) * 2019-07-30 2019-10-15 德淮半导体有限公司 一种外延设备的反应腔室的清洁方法
CN111593325A (zh) * 2020-07-01 2020-08-28 西安微电子技术研究所 一种低压化学气相淀积法淀积两层钨硅的方法
CN111826632A (zh) * 2019-04-22 2020-10-27 上海新微技术研发中心有限公司 一种非晶硅薄膜的沉积方法及沉积设备
CN112570393A (zh) * 2019-09-27 2021-03-30 长鑫存储技术有限公司 炉管清洗方法
CN113632201A (zh) * 2019-04-18 2021-11-09 胜高股份有限公司 气相成长方法及气相成长装置
CN114752918A (zh) * 2021-01-08 2022-07-15 江苏鲁汶仪器有限公司 一种腔室的清洗方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103352205B (zh) * 2013-05-31 2015-11-25 上海华力微电子有限公司 化学气相沉积室的清洁方法
US10192717B2 (en) * 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
DE102022126073A1 (de) 2022-10-10 2024-04-11 Stephan Wege Prozessstabilität durch Abscheidung

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05152256A (ja) * 1991-09-30 1993-06-18 Fuji Electric Co Ltd ドライクリーニング方法
JPH11145064A (ja) * 1997-11-07 1999-05-28 Iwatani Internatl Corp プラズマチャンバー
US20040028835A1 (en) * 2002-08-08 2004-02-12 Frank Lin Method for depositing thin film using plasma chemical vapor deposition
CN1767154A (zh) * 2004-07-23 2006-05-03 气体产品与化学公司 从基板上清除含碳的残余物的方法
CN1848383A (zh) * 2005-12-02 2006-10-18 北京北方微电子基地设备工艺研究中心有限责任公司 一种去除多晶硅刻蚀工艺中残留聚合物的方法
CN101109077A (zh) * 2007-08-21 2008-01-23 西安电子科技大学 等离子体化学汽相淀积氟化非晶碳膜的方法及膜层结构
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
CN102899636A (zh) * 2012-09-26 2013-01-30 中微半导体设备(上海)有限公司 一种原位清洁mocvd反应腔室的方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7624742B1 (en) * 2004-04-05 2009-12-01 Quantum Global Technologies, Llc. Method for removing aluminum fluoride contamination from aluminum-containing surfaces of semiconductor process equipment
US7569111B2 (en) * 2006-04-19 2009-08-04 United Microelectronics Corp. Method of cleaning deposition chamber
CN103352205B (zh) * 2013-05-31 2015-11-25 上海华力微电子有限公司 化学气相沉积室的清洁方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05152256A (ja) * 1991-09-30 1993-06-18 Fuji Electric Co Ltd ドライクリーニング方法
JPH11145064A (ja) * 1997-11-07 1999-05-28 Iwatani Internatl Corp プラズマチャンバー
US20040028835A1 (en) * 2002-08-08 2004-02-12 Frank Lin Method for depositing thin film using plasma chemical vapor deposition
CN1767154A (zh) * 2004-07-23 2006-05-03 气体产品与化学公司 从基板上清除含碳的残余物的方法
CN1848383A (zh) * 2005-12-02 2006-10-18 北京北方微电子基地设备工艺研究中心有限责任公司 一种去除多晶硅刻蚀工艺中残留聚合物的方法
CN101109077A (zh) * 2007-08-21 2008-01-23 西安电子科技大学 等离子体化学汽相淀积氟化非晶碳膜的方法及膜层结构
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
CN102899636A (zh) * 2012-09-26 2013-01-30 中微半导体设备(上海)有限公司 一种原位清洁mocvd反应腔室的方法

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103556127A (zh) * 2013-11-13 2014-02-05 上海华力微电子有限公司 一种气相沉积成膜设备的清洗方法
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
CN104498909A (zh) * 2014-12-05 2015-04-08 信利(惠州)智能显示有限公司 一种cvd成膜方法
CN105132887A (zh) * 2015-06-19 2015-12-09 广东汉能薄膜太阳能有限公司 一种真空镀膜设备中Zn杂质元素的去除方法
CN105344663A (zh) * 2015-09-30 2016-02-24 景旺电子科技(龙川)有限公司 一种fpc板的等离子清洗方法
CN106435470A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种实现自动清洗的烘烤腔结构及其自动清洗方法
CN113632201A (zh) * 2019-04-18 2021-11-09 胜高股份有限公司 气相成长方法及气相成长装置
CN111826632A (zh) * 2019-04-22 2020-10-27 上海新微技术研发中心有限公司 一种非晶硅薄膜的沉积方法及沉积设备
CN110331440A (zh) * 2019-07-30 2019-10-15 德淮半导体有限公司 一种外延设备的反应腔室的清洁方法
CN112570393A (zh) * 2019-09-27 2021-03-30 长鑫存储技术有限公司 炉管清洗方法
CN111593325A (zh) * 2020-07-01 2020-08-28 西安微电子技术研究所 一种低压化学气相淀积法淀积两层钨硅的方法
CN114752918A (zh) * 2021-01-08 2022-07-15 江苏鲁汶仪器有限公司 一种腔室的清洗方法

Also Published As

Publication number Publication date
US20150000694A1 (en) 2015-01-01
US8974602B2 (en) 2015-03-10
CN103352205B (zh) 2015-11-25

Similar Documents

Publication Publication Date Title
CN103352205B (zh) 化学气相沉积室的清洁方法
TWI756350B (zh) 藉由熱ald及peald沉積氧化物膜之方法
US10186420B2 (en) Formation of silicon-containing thin films
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
US11735420B2 (en) Wafer treatment for achieving defect-free self-assembled monolayers
JP6367658B2 (ja) プラズマアシストプロセスにより酸化膜を生成する方法
US9786491B2 (en) Formation of SiOCN thin films
US9528183B2 (en) Cobalt removal for chamber clean or pre-clean process
TWI394858B (zh) 用於沉積具有降低電阻率及改良表面形態之鎢膜的方法
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
JP2018142698A (ja) エアギャップの形成方法
TW201823504A (zh) 用於熱ale及ald之方法與系統
Longo et al. Selectivity of metal oxide atomic layer deposition on hydrogen terminated and oxidized Si (001)-(2× 1) surface
TW201618189A (zh) 矽氧化物之沉積方法
US10483097B2 (en) Method for cleaning, passivation and functionalization of Si—Ge semiconductor surfaces
CN103219226B (zh) 降低在沉积非晶碳膜时晶圆背面金属污染的方法
JP2017098543A (ja) 半導体素子の製造方法
KR100653217B1 (ko) 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
KR101198243B1 (ko) 탄소 함유 박막을 증착하는 박막 증착 장치의 건식 세정방법
US20160130699A1 (en) Method for forming cobalt containing films
KR20160062370A (ko) 반도체 소자의 제조방법
TW201606904A (zh) 用於蝕刻和腔室清洗之方法及用於該方法之氣體
TW201944468A (zh) 金屬氧化物之低溫原子層沉積的方法
JP5054890B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant