CN103098187A - 氮氧化硅膜及其形成方法以及半导体器件 - Google Patents

氮氧化硅膜及其形成方法以及半导体器件 Download PDF

Info

Publication number
CN103098187A
CN103098187A CN2010800690308A CN201080069030A CN103098187A CN 103098187 A CN103098187 A CN 103098187A CN 2010800690308 A CN2010800690308 A CN 2010800690308A CN 201080069030 A CN201080069030 A CN 201080069030A CN 103098187 A CN103098187 A CN 103098187A
Authority
CN
China
Prior art keywords
film
silicon oxynitride
oxynitride film
silicon
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800690308A
Other languages
English (en)
Other versions
CN103098187B (zh
Inventor
安东靖典
高桥英治
藤原将喜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nissin Electric Co Ltd
Original Assignee
Nissin Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nissin Electric Co Ltd filed Critical Nissin Electric Co Ltd
Publication of CN103098187A publication Critical patent/CN103098187A/zh
Application granted granted Critical
Publication of CN103098187B publication Critical patent/CN103098187B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate

Abstract

本发明提供一种不含氢及游离氟,从而膜特性良好的绝缘性膜。本发明的氮氧化硅膜包含硅、氮、氧及氟而成,氮N、氧O及氟F的合计(N+O+F)相对于硅Si的元素比率(N+O+F)/Si处于1.93~1.48的范围内,且该膜中的硅的元素比率处于0.34~0.41、氮的元素比率处于0.10~0.22、氧的元素比率处于0.14~0.38及氟的元素比率处于0.17~0.24的范围内。该膜可使用例如四氟化硅气体、氮气及氧气来作为原料气体28,利用通过感应耦合而生成等离子40的感应耦合型等离子化学气相沉积法,而形成在基板20上。

Description

氮氧化硅膜及其形成方法以及半导体器件
技术领域
本发明涉及一种例如可用在薄膜晶体管、金属-氧化物-半导体(Metal-Oxide-Semiconductor,MOS)晶体管等半导体器件等上的氮氧化硅膜及其形成方法。进一步来说,涉及一种具有该氮氧化硅膜的半导体器件及氧化物半导体薄膜晶体管。 
背景技术
在非专利文献1中,记载有:即,在以In-Ga-Zn-O(简称IGZO)氧化物半导体形成通道层的氧化物半导体薄膜晶体管(Oxide-semiconductor Thin Film Transistor,简称OTFT)中,利用使用SiH4/N2O混合气体的等离子化学气相沉积(Chemical Vapor Deposition,CVD)法,使氧化硅膜(SiOx膜)堆积作为保护膜(钝化层)(例如,参照第228页左栏、表1)。 
在该非专利文献1中,记载有将用作保护膜的氧化硅膜中的氢设想为:作为氧化物半导体薄膜晶体管的可靠性指标之一的、阈值Vth发生移位的原因的事实(例如,参照第229页左栏)。 
另一方面,在专利文献1中,记载有:使用SiF4气体来取代作为以往的气体源的SiH4气体,使用O2气体作为氧化气体,使用N2气体作为载气(carrygas),由此利用等离子CVD法,可形成不含氢的氧化硅膜(SiO2膜)(例如,参照段落0009)。 
现有技术文献 
专利文献 
专利文献1:日本专利特开平5-29301号公报(段落0009) 
非专利文献 
非专利文献1:大原宏树等人,2009年主动矩阵平面显示器与装置年会文摘,第227-230页,2009年 
发明内容
发明所要解决的问题 
如上所述,在非专利文献1中,记载有:保护膜中的氢会给氧化物半导体薄膜晶体管的特性带来不良影响,尽管在专利文献1中,记载有使用SiF4气体来取代作为以往的气体源的SiH4气体,由此可形成不含氢的氧化硅膜的技术,但是在该专利文献1所记载的技术中也还存在课题。 
即为:在专利文献1所记载的技术中,即便氧化硅膜中不含氢,也还包含从氟化物中游离出来的氟F2。这一事实由在专利文献1的段落0009中作为(2)记载的下式也可得知。 
[数1] 
SiF4+O2→SiO2+2F2
如果膜中含有游离的氟,那么该氟会在膜中活动、或作为脱气从膜中脱离,所以会使膜构造的稳定性变差,其结果,膜质的稳定性变差,膜特性也变差。 
因此,本发明的目的之一在于:提供一种不含氢及游离氟,从而膜特性良好的绝缘性膜。 
解决问题采用的手段 
本发明的氮氧化硅膜是包括硅、氮、氧及氟而成的膜,且其特征在于:氮N、氧O及氟F的合计(N+O+F)相对于硅Si的元素比率(N+O+F)/Si处于1.93~1.48的范围内,且该膜中的硅的元素比率处于0.34~0.41、氮的元素比率处于0.10~0.22、氧的元素比率处于0.14~0.38及氟的元素比率处于0.17~0.24的范围内。 
该氮氧化硅膜不含氢。从而,可解决膜中的氢会给半导体器件的特性带来不良影响的课题。 
另外,该氮氧化硅膜也不含游离氟。从而,可解决游离氟会使膜质的稳定性恶化、也使膜特性恶化的课题。 
而且,各元素比率处于所述范围内,所以该氮氧化硅膜的击穿电场强度较高、且泄露电流密度较低、作为绝缘性膜较优异。 
该氮氧化硅膜也可用在半导体器件上。如果进一步列举具体例,那么也可用在使用氧化物半导体的薄膜晶体管的闸极绝缘膜、蚀刻终止层(etching  stopper)、保护膜等上。 
所述氮氧化硅膜也可使用例如四氟化硅气体(SiF4)、氮气及含氧气体作为原料气体,利用通过感应耦合而生成等离子的感应耦合型等离子CVD法,而形成在基板上。 
发明的效果 
本发明的权利要求第1项所述的氮氧化硅膜不含氢。从而,可解决膜中的氢会给半导体器件的特性带来不良影响的课题。 
另外,该氮氧化硅膜也不含游离氟。从而,可解决游离氟会使膜质的稳定性恶化、也使膜特性恶化的课题。 
而且,各元素比率处于本发明的第1项所述的范围内,所以该氮氧化硅膜的击穿电场强度较高、且泄露电流密度较低、作为绝缘性膜较优异。 
根据本发明的权利要求第2项所述的发明,具有本发明的第1项所述的氮氧化硅膜,所以可实现特性良好、且特性稳定性良好的半导体器件。 
根据本发明的权利要求第3项所述的发明,在闸极绝缘膜、蚀刻终止层及保护膜的至少一个中使用本发明的第1项所述的氮氧化硅膜,所以可实现特性良好、且特性稳定性良好的氧化物半导体薄膜晶体管。 
根据本发明的权利要求第4项所述的发明可获得如下效果。即,使用不含氢的气体作为原料气体,所以可形成不含氢的氮氧化硅膜。而且,尽管四氟化硅气体(SiF4)及氮气(N2)与以往经常使用的硅烷(SiH4)及氨(NH3)相比较难以放电分解,但根据感应耦合型等离子CVD法,可在等离子中产生较大的感应电场,所以可使该四氟化硅气体及氮气高效地放电分解。其结果,可生成高密度等离子,而高效地形成氮氧化硅膜。 
根据本发明的权利要求第5项所述的发明,既可抑制会对基板及膜造成的因热而导致的不良影响,也可形成特性良好的氮氧化硅膜。 
附图说明
图1是表示感应耦合型等离子CVD装置的一例的剖视图。 
图2是表示利用本发明的膜形成方法而获得的氮氧化硅膜的、电场强度与电流密度的关系的一例的图。 
图3是表示利用本发明的膜形成方法而获得的氮氧化硅膜的、击穿电场 强度与泄露电流密度的关系的一例的图。 
图4是表示将利用本发明的膜形成方法而获得的氮氧化硅膜的、构成元素比率改变时的击穿电场强度与构成元素比率的关系的一例的图。 
图5是表示利用本发明的膜形成方法而获得的氮氧化硅膜的、击穿电场强度与元素比率(N+O+F)/Si的关系的一例的图。 
图6是表示利用本发明的膜形成方法而获得的氮氧化硅膜的XPS光谱的一例的图。 
图7是表示使用氧化物半导体的薄膜晶体管的构成的一例的剖视图。 
图8是表示利用本发明的膜形成方法而获得的氮氧化硅膜的红外吸收光谱的一例的图。 
具体实施方式
图1表示利用感应耦合型等离子CVD法在基板上形成膜的感应耦合型等离子CVD装置的一例。 
所述等离子CVD装置是:利用通过使高频电流从高频电源42流向平面导体34而产生的感应电场来生成等离子40,并使用所述等离子40,而在基板20上利用等离子CVD法进行膜形成的感应耦合型等离子CVD装置。 
基板20例如为下述基板2(参照图7):液晶显示器或有机场致发光(Electro Luminescence,EL)显示器等的平板显示器(Flat Panel Display,FPD)用的基板、软性显示器用的软性基板等,但并不限于此。 
所述等离子CVD装置例如具备:金属制真空容器22,其内部是利用真空排气装置24而进行真空排气。 
与对基板20所施予的处理内容相应的原料气体28是:通过气体导入管26而导入至真空容器22内。例如,如下所述,导入四氟化硅气体SiF4、氮气N2及含氧气体的混合气体,来作为原料气体28。含氧气体例如为氧气O2,也可为二氧化氮N2O等。 
在真空容器22内,设置着保持基板20的固持器30。在该固持器30内,设置着对基板20进行加热的加热器32。 
在真空容器22内,更具体而言是在真空容器22的顶板面23的内侧,以与固持器30的基板保持面对向的方式,设置着:平面形状为长方形的平面 导体34。该平面导体34的平面形状既可为长方形,也可为正方形等。具体地要使该平面形状为何种,例如只要根据基板20的平面形状来决定即可。 
从高频电源42经由整合电路44,且经由供电电极36及终端电极38,而将高频电力供给至平面导体34的长度方向的一端侧的供电端与另一端侧的终端之间,由此高频电流流向平面导体34。从高频电源42输出的高频电力的频率例如为通常的13.56MHz,但并不限于此。 
供电电极36及终端电极38分别经由绝缘凸缘39,而安装在真空容器22的顶板面23。在这些元件之间,分别设置着真空密封用的垫圈。顶板面23的上部如同该例一样,优选是用可防止高频泄露的遮蔽箱46来覆盖。 
通过以如上所述的方式使高频电流流向平面导体34,而在平面导体34的周围产生高频磁场,由此在与高频电流相反的方向上产生感应电场。利用该感应电场,在真空容器22内,使电子加速并使平面导体34附近的气体28电离,而在平面导体34附近产生等离子40。所述等离子40扩散到基板20附近,利用所述等离子40可在基板20上进行等离子CVD法的膜形成。 
利用使用如上所述的等离子CVD装置的感应耦合型等离子CVD法,使用四氟化硅气体SiF4、氮气N2及氧气O2来作为原料气体28,在基板20上,形成含有硅Si、氮N、氧O及氟F而成的氮氧化硅膜。此时的基板20的温度优选设定在100℃~300℃的范围内。另外,也可使用二氧化氮N2O,来取代氧气O2而作为含氧气体。 
根据该膜形成方法,使用不含氢的气体作为原料气体28,所以可在基板20上形成不含氢的氮氧化硅膜。而且,尽管四氟化硅气体SiF4及氮气N2与以往经常使用的硅烷SiH4及氨NH3相比较难以放电分解,但根据感应耦合型等离子CVD法,可在等离子40中产生较大的感应电场,所以可使该四氟化硅气体及氮气高效地放电分解。其结果,可生成高密度等离子40,而在基板20上高效地形成氮氧化硅膜。 
此外,通过将基板20的温度设定在所述范围内,既可抑制会对基板20及膜造成的因热而导致的不良影响,也可形成特性良好的氮氧化硅膜。更具体而言,可在基板20上形成具有下述优异的性质的氮氧化硅膜。 
将利用所述膜形成方法而获得的氮氧化硅膜的、电场强度与电流密度的关系的一例,表示在图2中。该情况中的成膜条件是:将基板20设定为硅基 板、将基板20的温度设定为200℃、将构成原料气体28的各气体的流量设定为SiF4:50ccm、N2:200ccm、O2:10ccm、将真空容器22内的压力设定为0.67Pa。 
然后,在所得的氮氧化硅膜上叠加铝电极,形成金属-绝缘体-半导体(Metal-Insulator-Semiconductor,MIS)(金属-氮氧化硅膜-半导体)构造,而对图2所示的特性进行测定。 
如果对该说明书中所采用的定义进行说明,那么也如图2中所示,击穿电场强度设定成电流密度为1×10-5A/cm2时的电场强度,泄露电流密度设定成电场强度为3MV/cm时的电流密度。 
由图2可知,利用所述膜形成方法而获得的氮氧化硅膜的击穿电场强度约为10MV/cm,泄露电流密度约为1×10-8A/cm2。以往的绝缘性膜(SiNx或SiO2)的击穿电场强度至多不过6~8MV/cm,本发明获得比这要高的击穿电场强度。另一方面,泄露电流密度与以往的绝缘性膜的泄露电流密度为相同程度。 
将使构成所述原料气体28的各气体SiF4、N2、Ox的流量条件、等离子生成的放电电力及真空容器22内的气压条件变化、而形成的氮氧化硅膜的击穿电场强度与泄露电流密度的关系的一例,表示在图3中。确认了:该氮氧化硅膜存在随着击穿电场强度的改善(上升)而泄露电流密度也改善(减少)的倾向。认为其原因在于:该氮氧化硅膜中的缺陷较少。从该观点出发,也可说该氮氧化硅膜是特性良好的绝缘性膜。 
使用X射线光电子光谱(X-ray Photoelectron Spectroscopy,简称XPS),对利用所述膜形成方法而获得的氮氧化硅膜的组成进行评价。其结果,确认所得的膜是由硅Si、氮N、氧O及氟F所构成。另外,利用傅里叶变换型红外光谱法(Fourier Transform Infrared Radiation,简称FT-IR)对该氮氧化硅膜中的氢的有无进行确认。将其结果表示在图8中。如该红外吸收光谱所示,未发现Si-H(波数2000/cm)及Si-H2(波数2100/cm)的红外吸收峰值,所以可确认在所述氮氧化硅膜中不含氢。 
在图4中表示,将利用所述膜形成方法而获得的氮氧化硅膜的构成元素比率改变时的、击穿电场强度与构成元素比率的关系的一例。构成元素比率是:由与利用XPS而获得的各元素对应的信号的峰值波形的面积来决定的。 标注在图中的各元素记号之后的高表示击穿电场强度为大于等于7MV/cm的情况,低表示未到7MV/cm的情况。 
由该图4可知,能确认:在所述氮氧化硅膜中的硅Si的元素比率处于0.34~0.41、氮N的元素比率处于0.10~0.22、氧O的元素比率处于0.14~0.38及氟F的元素比率处于0.17~0.24的范围内的情况下,可获得大于等于7MV/cm(更具体而言约为大于等于8MV/cm)的较高的击穿电场强度。即,能确认通过设定为该组成,可实现绝缘性能较高的氮氧化硅膜。 
另一方面,如果认为所述氮氧化硅膜是具有与氧化硅膜类似的构造的膜,那么该氮氧化硅膜可表示为Si(OxNyFz)R。下标x、y、z是各构成元素的比率。R是(N+O+F)相对于Si的元素比率,也可以用R=(N+O+F)/Si来表示。 
将利用所述膜形成方法而获得的氮氧化硅膜的、击穿电场强度与元素比率R=(N+O+F)/Si的关系的一例,表示在图5中。 
由该图5可知,能确认:随着元素比率R=(N+O+F)/Si约从2左右变小,而击穿电场强度上升。尤其是在R=(N+O+F)/Si为1.93~1.48的范围内,可获得大于等于7MV/cm(更具体而言约为大于等于8MV/cm)的较高的击穿电场强度。其中,也存在于R为1.93左右时击穿电场强度较低的情况,但通过将该R的条件与图4所示的各元素的元素比率的条件组合起来,可实现击穿电场强度较高且绝缘性能较高的氮氧化硅膜。 
即,能确认:在氮N、氧O及氟F的合计(N+O+F)相对于硅Si的元素比率R=(N+O+F)/Si处于1.93~1.48的范围内,且该膜中的硅Si的元素比率处于0.34~0.41、氮N的元素比率处于0.10~0.22、氧O的元素比率处于0.14~0.38及氟F的元素比率处于0.17~0.24的范围内的情况下,可获得大于等于7MV/cm(更具体而言约为大于等于8MV/cm)的较高的击穿电场强度。即,能确认:通过设定为该组成,可实现绝缘性能较高的氮氧化硅膜。 
将利用所述膜形成方法而获得的氮氧化硅膜的XPS光谱的一例,表示在图6中。 
图6中的Si-F表示:在氟原子与硅原子键结(bonding)的情况下,氟原子的电子键结能(bonding energy)具有685.5eV、或687.6eV的键结能。Si-F2 也是硅与氟的键结,表示:在硅中键结有2个氟的情况下,氟原子的电子键结能量为686.8eV。O-Si-F表示:在硅、氧及氟键结的情况下,氟原子的电子键结能具有686.8eV或690.5eV的键结能。相反地,表示出相当于686.8eV的能量的键结状态是:存在于Si-F2的情况与O-Si-F的情况中。表示出689eV的键结能的值是:出现在SiN(F)-O或C-F2的键结的情况中。在SiN(F)-O的记法中,表示:氟进入氮氧化硅膜中、且处在相对于硅与氮的键结有某个原子与氟键结的状态下。此外,C-F2表示:碳原子与2个氟原子键结的情况。F-F表示:氟原子彼此键结的构造,且表示出于该情况下其为696.7eV的键结能。 
由该XPS光谱可知,在F-F的键结能696.7eV中看不到峰(peak),所以可判断所述氮氧化硅膜中不含游离氟。 
如果将以上总结起来,那么所述氮氧化硅膜如由图4、图5及其说明等所知,不含氢。从而,可解决膜中的氢会给半导体器件的特性带来不良影响的课题。 
另外,所述氮氧化硅膜如由图6及其说明所知,也不含游离氟。从而,可解决游离氟会使膜质的稳定性恶化、也会使膜特性恶化的课题。 
而且,在所述氮氧化硅膜中,将氮N、氧O及氟F的合计(N+O+F)相对于硅Si的元素比率(N+O+F)/Si设定在1.93~1.48的范围内,且将该膜中的硅Si的元素比率设定在0.34~0.41、氮N的元素比率设定在0.10~0.22、氧O的元素比率设定在0.14~0.38及氟F的元素比率设定在0.17~0.24的范围内,由此可实现击穿电场强度较高、且泄露电流密度较低、作为绝缘性膜较优异的氮氧化硅膜。 
所述氮氧化硅膜可作为绝缘性膜等,而用在例如薄膜晶体管、MOS晶体管等的半导体器件上。构成这种半导体器件的氮氧化硅膜具有如上所述的特长,所以这种半导体器件成为:特性良好且特性稳定性良好的半导体器件。 
如果进一步列举具体例,那么所述氮氧化硅膜可用在:使用氧化物半导体的薄膜晶体管上。将使用氧化物半导体的薄膜晶体管的构成的一例,表示在图7中。 
该薄膜晶体管是以In-Ga-Zn-O(简称IGZO)氧化物半导体构成通道层 的氧化物半导体薄膜晶体管(简称OTFT),在基板(例如玻璃基板)2上形成着闸极电极4及闸极绝缘膜6;在闸极绝缘膜6上,形成着包含In-Ga-Zn-O的半导体层8。在该半导体层8上,隔着通道区域形成着源极电极10及漏极电极12。在通道区域上,形成着使蚀刻停止的蚀刻终止层14。另外,在源极电极10、漏极电极12及蚀刻终止层14上,形成着保护这些的保护膜16。 
如果在这种氧化物半导体薄膜晶体管中,该闸极绝缘膜6、蚀刻终止层14或保护膜16含有氢,那么如上所述,该氢会给该薄膜晶体管的特性带来不良影响。 
因此,如果在该闸极绝缘膜6、蚀刻终止层14及保护膜16的至少一个中使用所述氮氧化硅膜,那么由于该氮氧化硅膜不含氢,所以可实现特性良好、且特性稳定性良好的氧化物半导体薄膜晶体管。 
产业上的可利用性 
本发明的氮氧化硅膜例如可用在薄膜晶体管、MOS晶体管等的半导体器件等。如果进一步列举具体例,那么可用在使用氧化物半导体的薄膜晶体管的闸极绝缘膜、蚀刻终止层、保护膜等。另外,这种半导体器件例如可用在液晶显示器、有机EL显示器等的显示器等。 
符号的说明 
20     基板 
22     真空容器 
28     原料气体 
30     固持器 
34     平面导体 
40     等离子 
42     高频电源 。

Claims (5)

1.一种氮氧化硅膜,包括硅、氮、氧及氟而成,所述氮氧化硅膜的特征在于:
氮N、氧O及氟F的合计(N+O+F)相对于硅Si的元素比率(N+O+F)/Si是:处于1.93~1.48的范围内,
且该膜中的硅的元素比率处于0.34~0.41、氮的元素比率处于0.10~0.22、氧的元素比率处于0.14~0.38及氟的元素比率处于0.17~0.24的范围内。
2.一种半导体器件,其特征在于包括:
根据权利要求1所述的氮氧化硅膜。
3.一种薄膜晶体管,为使用氧化物半导体的薄膜晶体管,所述薄膜晶体管的特征在于:
在闸极绝缘膜、蚀刻终止层及保护膜的至少一者中,使用根据权利要求1所述的氮氧化硅膜。
4.一种氮氧化硅膜的形成方法,其特征在于:
使用四氟化硅气体(SiF4)、氮气及含氧气体来作为原料气体,
利用通过感应耦合而生成等离子的感应耦合型等离子化学气相沉积法,在基板上形成根据权利要求1所述的氮氧化硅膜。
5.根据权利要求4所述的氮氧化硅膜的形成方法,其特征在于:
将膜形成时的所述基板的温度设定在100℃~300℃的范围内。
CN201080069030.8A 2010-12-08 2010-12-08 氮氧化硅膜及其形成方法、半导体器件以及薄膜晶体管 Active CN103098187B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2010/007137 WO2012077163A1 (ja) 2010-12-08 2010-12-08 シリコン酸窒化膜及びその形成方法並びに半導体デバイス

Publications (2)

Publication Number Publication Date
CN103098187A true CN103098187A (zh) 2013-05-08
CN103098187B CN103098187B (zh) 2015-09-09

Family

ID=46206685

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080069030.8A Active CN103098187B (zh) 2010-12-08 2010-12-08 氮氧化硅膜及其形成方法、半导体器件以及薄膜晶体管

Country Status (5)

Country Link
US (1) US9058982B2 (zh)
JP (1) JP5224012B2 (zh)
KR (1) KR101475899B1 (zh)
CN (1) CN103098187B (zh)
WO (1) WO2012077163A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104427736A (zh) * 2013-08-23 2015-03-18 日新电机株式会社 等离子体处理装置
TWI595558B (zh) * 2015-02-13 2017-08-11 Nissin Electric Co Ltd Membrane formation method and method for fabricating thin film transistor

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014133722A1 (en) * 2013-03-01 2014-09-04 Applied Materials, Inc. Metal oxide tft stability improvement
JP5454727B1 (ja) * 2013-07-10 2014-03-26 日新電機株式会社 薄膜トランジスタの作製方法
JP6392061B2 (ja) * 2014-10-01 2018-09-19 東京エレクトロン株式会社 電子デバイス、その製造方法、及びその製造装置
WO2016063159A1 (en) * 2014-10-20 2016-04-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof, module, and electronic device
JP6004459B1 (ja) 2015-12-08 2016-10-05 国立大学法人 奈良先端科学技術大学院大学 薄膜トランジスタとその製造方法および前記薄膜トランジスタを有する半導体装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1451177A (zh) * 1999-11-30 2003-10-22 英特尔公司 改进的氟掺杂二氧化硅薄膜
CN1725452A (zh) * 2004-07-20 2006-01-25 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US20110223415A1 (en) * 2008-06-13 2011-09-15 Thomas Drescher Glass product

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0529301A (ja) 1991-07-23 1993-02-05 Seiko Epson Corp Cvd法
JPH0878408A (ja) 1994-09-08 1996-03-22 Fujitsu Ltd 半導体装置の製造方法
EP0723944A1 (en) * 1995-01-26 1996-07-31 Optical Coating Laboratory, Inc. Wear resistant windows
JPH1012611A (ja) 1996-06-26 1998-01-16 Sony Corp 配線保護用パッシベーション膜、及び半導体装置の製造方法
US6362114B1 (en) * 1996-11-12 2002-03-26 Micron Technology, Inc. Semiconductor processing methods of forming an oxynitride film on a silicon substrate
JPH10154712A (ja) * 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6472336B1 (en) * 2000-02-23 2002-10-29 Advanced Micro Devices, Inc. Forming an encapsulating layer after deposition of a dielectric comprised of corrosive material
US6468927B1 (en) * 2000-05-19 2002-10-22 Applied Materials, Inc. Method of depositing a nitrogen-doped FSG layer
US7074489B2 (en) * 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
JP4257051B2 (ja) * 2001-08-10 2009-04-22 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
US20070184181A1 (en) * 2003-03-25 2007-08-09 Kazuo Wada Device and method for forming film for organic electro-luminescence element using inductive coupling CVD
US7378157B2 (en) * 2004-06-28 2008-05-27 Dai Nippon Printing Co., Ltd. Gas barrier film, and display substrate and display using the same
US7037855B2 (en) * 2004-08-31 2006-05-02 Asm Japan K.K. Method of forming fluorine-doped low-dielectric-constant insulating film
US20060071301A1 (en) * 2004-10-06 2006-04-06 Luo Shing A Silicon rich dielectric antireflective coating
KR100669142B1 (ko) * 2005-04-20 2007-01-15 (주)더리즈 발광 소자와 이의 제조 방법
KR100700493B1 (ko) * 2005-05-24 2007-03-28 삼성에스디아이 주식회사 효율적인 필라멘트 배열 구조를 갖는 촉매 강화 화학 기상증착 장치
JP4717674B2 (ja) * 2006-03-27 2011-07-06 富士フイルム株式会社 ガスバリア性フィルム、基材フィルムおよび有機エレクトロルミネッセンス素子
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
JP2008300779A (ja) * 2007-06-04 2008-12-11 Elpida Memory Inc 半導体装置及びその製造方法
US8114722B2 (en) 2007-08-24 2012-02-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US8174021B2 (en) * 2009-02-06 2012-05-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the semiconductor device
CN103730515B (zh) * 2009-03-09 2016-08-17 株式会社半导体能源研究所 半导体器件

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1451177A (zh) * 1999-11-30 2003-10-22 英特尔公司 改进的氟掺杂二氧化硅薄膜
CN1725452A (zh) * 2004-07-20 2006-01-25 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US20110223415A1 (en) * 2008-06-13 2011-09-15 Thomas Drescher Glass product

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104427736A (zh) * 2013-08-23 2015-03-18 日新电机株式会社 等离子体处理装置
CN104427736B (zh) * 2013-08-23 2017-04-12 日新电机株式会社 等离子体处理装置
TWI595558B (zh) * 2015-02-13 2017-08-11 Nissin Electric Co Ltd Membrane formation method and method for fabricating thin film transistor

Also Published As

Publication number Publication date
CN103098187B (zh) 2015-09-09
US9058982B2 (en) 2015-06-16
KR20130050352A (ko) 2013-05-15
KR101475899B1 (ko) 2014-12-24
JPWO2012077163A1 (ja) 2014-05-19
WO2012077163A1 (ja) 2012-06-14
JP5224012B2 (ja) 2013-07-03
US20130181291A1 (en) 2013-07-18

Similar Documents

Publication Publication Date Title
CN103098187B (zh) 氮氧化硅膜及其形成方法、半导体器件以及薄膜晶体管
TWI657539B (zh) 半導體裝置
JP5700637B2 (ja) トランジスタ
CN106935656B (zh) 绝缘膜、半导体装置的制造方法以及半导体装置
TWI496932B (zh) 用於顯示裝置的阻絕物材料
US6048766A (en) Flash memory device having high permittivity stacked dielectric and fabrication thereof
US20190051826A1 (en) Semiconductor device structures including silicon-containing dielectric materials
KR101880838B1 (ko) 박막 트랜지스터용 하이브리드 유전 재료
TWI546867B (zh) 薄膜電晶體的製作方法
JP2007287890A (ja) 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
TW201140847A (en) Thin film transistor
TW201246551A (en) Thin film transistor
TW201442238A (zh) 金屬氧化物薄膜電晶體及其製作方法
TW201133866A (en) Thin film transistor and manufacturing method thereof
CN103824887A (zh) 金属氧化物半导体薄膜晶体管及其制作方法
CN105870201B (zh) Tft器件结构及其制作方法
WO2010038887A1 (ja) 二酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
US10475934B2 (en) Thin film transistor, method for manufacturing same and semiconductor device comprising said thin film transistor
CN101789450B (zh) 薄膜晶体管及制造富硅沟道层的方法
CN107210220B (zh) 膜形成方法及薄膜晶体管的制作方法
JP5112668B2 (ja) 半導体装置の製法
KR20230138552A (ko) 실리콘 산질화막의 성막 방법 및 박막 트랜지스터의 제조 방법
JP2012079891A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant