CN103081074A - 蚀刻方法、基板处理方法、图案形成方法、半导体元件的制造方法及半导体元件 - Google Patents

蚀刻方法、基板处理方法、图案形成方法、半导体元件的制造方法及半导体元件 Download PDF

Info

Publication number
CN103081074A
CN103081074A CN2011800417279A CN201180041727A CN103081074A CN 103081074 A CN103081074 A CN 103081074A CN 2011800417279 A CN2011800417279 A CN 2011800417279A CN 201180041727 A CN201180041727 A CN 201180041727A CN 103081074 A CN103081074 A CN 103081074A
Authority
CN
China
Prior art keywords
layer
fluorocarbon
etching
hard mask
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800417279A
Other languages
English (en)
Other versions
CN103081074B (zh
Inventor
松冈孝明
野泽俊久
堀敏泰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN103081074A publication Critical patent/CN103081074A/zh
Application granted granted Critical
Publication of CN103081074B publication Critical patent/CN103081074B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

在作为被处理基板的硅基板上,形成碳氟化合物层(A)。在所形成的碳氟化合物层上形成抗蚀层(B)。然后,对抗蚀层进行利用光致抗蚀剂的曝光,以规定的形状进行图案化(C)。将以规定的形状进行了图案化的抗蚀层作为掩模,进行碳氟化合物层的蚀刻(D)。接着,去除作为掩模的抗蚀层(E)。然后,将所残留的碳氟化合物层作为掩模,进行硅基板的蚀刻(F)。由于仅碳氟化合物层一层就具备作为防反射膜和硬掩模的功能,因此可以提高处理的可靠性并且可以廉价地进行。

Description

蚀刻方法、基板处理方法、图案形成方法、半导体元件的制造方法及半导体元件
技术领域
本发明涉及蚀刻方法、基板处理方法、图案形成方法、半导体元件的制造方法以及半导体元件,尤其是涉及使用等离子体处理的蚀刻方法、基板处理方法、图案形成方法、半导体元件的制造方法以及使用等离子体处理形成的半导体元件。
背景技术
大规模集成电路(LSI、Large Scale Integrated circuit)、金属氧化物半导体(MOS、Metal Oxide Semiconductor)晶体管等半导体元件,通过对作为被处理基板的半导体基板(晶圆)实施蚀刻、化学气相沉积(CVD、Chemical Vapor Deposition)、溅射等处理来制造。对于蚀刻、CVD、溅射等处理而言,有使用等离子体作为其能量供给源的处理方法,即等离子体蚀刻、等离子体CVD、等离子体溅射等。
其中,蚀刻的情况下,关于形成防反射膜来进行蚀刻的蚀刻方法的技术公开于日本特开2009-188403号公报(专利文献1)中。专利文献1公开了减少临界尺寸(CD、Critical Dimension)并且对含硅的防反射涂覆(ARC(Anti Reflective Coat))层内的特征部位进行蚀刻的方法。
现有技术文献
专利文献
专利文献1:日本特开2009-188403号公报
发明内容
发明要解决的问题
对于硅基板等被处理基板,进行45nm以下的微细蚀刻时,有时形成硬掩模层、有机膜层(光学分散层)、防反射膜层(ARC层)的三层来进行蚀刻。根据这种构造,可以确保对光致抗蚀剂进行曝光时所必需的防反射性能,因此可以实现蚀刻中的线宽粗糙度(LWR、Line Width Roughness)等的改善。
但是,蚀刻工序中,形成这种三层结构的掩模会导致制造工序的增加、再现性的降低等。即,若从制造成本降低、处理的可靠性提高的观点考虑,则上述蚀刻工序时的三层的形成未必优选。
本发明的目的在于,提供可以提高处理的可靠性并且可以廉价地进行的蚀刻方法。
本发明的其它目的在于,提供可以提高处理的可靠性并且可以廉价地进行的基板处理方法。
本发明的进一步其它的目的在于,提供可以提高处理的可靠性并且可以廉价地进行的图案形成方法。
本发明的进一步其它的目的在于,提供可以提高处理的可靠性并且可以廉价地制造的半导体元件的制造方法。
本发明的进一步其它的目的在于,提供可靠性高并且可以廉价地制造的半导体元件。
用于解决问题的方案
本发明的蚀刻方法中,将被处理基板上的图案化了的碳氟化合物层作为掩模,进行被处理基板的蚀刻。
通过如此构成,仅碳氟化合物层一层就具备作为防反射膜和硬掩模的功能。若如此则在掩模形成工序时,可以仅形成碳氟化合物层,因此可以实现工序数的减少和再现性的提高。因此,若利用这种蚀刻方法,则可以提高处理的可靠性并且可以廉价地进行。
可以在被处理基板与碳氟化合物层之间夹设有SiCN层、SiCO层和无定形碳层中的至少任意一层。
另外,可以在碳氟化合物层上形成有SiCN层、SiCO层和无定形碳层中的至少任意一层。
另外,本发明的基板处理方法为进行被处理基板的处理的基板处理方法,其包括:在被处理基板上形成碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在所形成的碳氟化合物层上形成抗蚀层的抗蚀层形成工序;将所形成的抗蚀层图案化而形成规定的形状的图案化工序;将图案化了的抗蚀层作为掩模、进行碳氟化合物层的蚀刻而进行碳氟化合物层的图案化的碳氟化合物层蚀刻工序;和将图案化了的碳氟化合物层作为掩模、进行被处理基板的蚀刻的被处理基板蚀刻工序。
通过如此构成,仅碳氟化合物层一层就具备作为防反射膜和硬掩模的功能。若如此则在掩模形成工序时,可以仅形成碳氟化合物层,因此可以实现工序数的减少和再现性的提高。因此,若利用这种基板处理方法,则可以提高处理的可靠性并且可以廉价地进行。
可以包括在碳氟化合物层与抗蚀层之间形成SiCN层、SiCO层和无定形碳层中的至少任意一层的工序。
另外,可以包括在碳氟化合物层与被处理基板之间形成SiCN层、SiCO层和无定形碳层中的至少任意一层的工序。
图案化工序可以包括浸液曝光工序。
作为一实施方式,曝光用的光源可以包含ArF准分子激光。
本发明的其它方案中,基板处理方法为进行被处理基板的处理的基板处理方法,其包括:在被处理基板上形成硬掩模层的硬掩模层形成工序;在硬掩模层形成工序之后,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在碳氟化合物层形成工序之后,以覆盖所形成的碳氟化合物层和在碳氟化合物层之间露出的硬掩模层的方式、形成含硅膜的含硅膜形成工序;在含硅膜形成工序之后,以残留位于碳氟化合物层的侧壁侧的含硅膜、去除位于碳氟化合物层的上侧和硬掩模层的上侧的含硅膜的方式进行蚀刻的含硅膜蚀刻工序(凹蚀工序);在含硅膜蚀刻工序之后,以去除位于侧壁之间的碳氟化合物层的方式进行蚀刻的碳氟化合物层蚀刻工序;在碳氟化合物层蚀刻工序之后,将所残留的含硅膜作为掩模、进行硬掩模层的蚀刻的硬掩模层蚀刻工序;和在硬掩模层蚀刻工序之后,将所残留的硬掩模层作为掩模、进行被处理基板的蚀刻的被处理基板蚀刻工序。
含硅膜可以包含SiO2膜。
另外,硬掩模层可以包含SiN膜。
其中,上述碳氟化合物层形成工序可以通过等离子体CVD进行。
另外,碳氟化合物层形成工序可以使用将微波等离子体作为等离子体源、通过径向线缝隙天线(Radial Line Slot Antenna)生成的等离子体来进行。
需要说明的是,上述碳氟化合物层形成工序可以使用C5F8气体形成。
需要说明的是,蚀刻通过等离子体蚀刻进行,进一步优选蚀刻工序使用将微波等离子体作为等离子体源、通过径向线缝隙天线(Radial Line Slot Antenna)生成的等离子体来进行。
本发明的进一步其它的方案中,图案形成方法为形成进行被处理基板的蚀刻时的图案的图案形成方法,其包括:在被处理基板上形成碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在所形成的碳氟化合物层上形成抗蚀层的抗蚀层形成工序;将所形成的抗蚀层图案化而形成规定的形状的图案化工序;和将图案化了的抗蚀层作为掩模、进行碳氟化合物层的蚀刻,形成进行被处理基板的蚀刻时的碳氟化合物层的图案的图案形成工序。
本发明的进一步其它方案中,图案形成方法为形成进行被处理基板的蚀刻时的图案的图案形成方法,其包括:在被处理基板上形成硬掩模层的硬掩模层形成工序;在硬掩模层形成工序之后,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在碳氟化合物层形成工序之后,以覆盖所形成的碳氟化合物层和在碳氟化合物层之间露出的硬掩模层的方式、形成含硅膜的含硅膜形成工序;在含硅膜形成工序之后,以残留位于碳氟化合物层的侧壁侧的含硅膜、去除位于碳氟化合物层的上侧和硬掩模层的上侧的含硅膜的方式进行蚀刻的含硅膜蚀刻工序;和在含硅膜蚀刻工序之后,以去除位于侧壁之间的碳氟化合物层的方式进行蚀刻,形成进行被处理基板的蚀刻时的碳氟化合物层的图案的图案形成工序。
本发明的进一步其它的方案中,半导体元件的制造方法为对被处理基板进行蚀刻而制造的半导体元件的制造方法,其包括:在被处理基板上形成碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在所形成的碳氟化合物层上形成抗蚀层的抗蚀层形成工序;将所形成的抗蚀层图案化而形成规定的形状的图案化工序;将图案化了的抗蚀层作为掩模、进行碳氟化合物层的蚀刻而进行碳氟化合物层的图案化的碳氟化合物层蚀刻工序;和将图案化了的碳氟化合物层作为掩模、进行被处理基板的蚀刻的被处理基板蚀刻工序。
本发明的进一步其它的方案中,半导体元件的制造方法为对被处理基板进行蚀刻而制造的半导体元件的制造方法,其包括:在被处理基板上形成硬掩模层的硬掩模层形成工序;在硬掩模层形成工序之后,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在碳氟化合物层形成工序之后,以覆盖所形成的碳氟化合物层和在碳氟化合物层之间露出的硬掩模层的方式、形成含硅膜的含硅膜形成工序;在含硅膜形成工序之后,以残留位于碳氟化合物层的侧壁侧的含硅膜、去除位于碳氟化合物层的上侧和硬掩模层的上侧的含硅膜的方式进行蚀刻的含硅膜蚀刻工序(凹蚀工序);在含硅膜蚀刻工序之后,以去除位于侧壁之间的碳氟化合物层的方式进行蚀刻的碳氟化合物层蚀刻工序;在碳氟化合物层蚀刻工序之后,将所残留的含硅膜作为掩模、进行硬掩模层的蚀刻的硬掩模层蚀刻工序;和在硬掩模层蚀刻工序之后,将所残留的硬掩模层作为掩模、进行被处理基板的蚀刻的被处理基板蚀刻工序。
本发明的进一步其它的方案中,半导体元件如下制造:在被处理基板上形成碳氟化合物(CFx:x为任意的数)层,在所形成的碳氟化合物层上形成抗蚀层,将所形成的抗蚀层图案化而形成规定的形状,将图案化了的抗蚀层作为掩模、进行碳氟化合物层的蚀刻而进行碳氟化合物层的图案化,将图案化了的碳氟化合物层作为掩模、进行被处理基板的蚀刻,从而制造上述半导体元件。
本发明的进一步其它的方案中,半导体元件如下制造:在被处理基板上形成硬掩模层,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层,以覆盖所形成的碳氟化合物层和在碳氟化合物层之间露出的硬掩模层的方式形成含硅膜,以残留位于碳氟化合物层的侧壁侧的含硅膜、去除位于碳氟化合物层的上侧和硬掩模层的上侧的含硅膜的方式进行蚀刻,以去除位于侧壁之间的碳氟化合物层的方式进行蚀刻,将所残留的含硅膜作为掩模、进行硬掩模层的蚀刻,将所残留的硬掩模层作为掩模、进行被处理基板的蚀刻,从而制造上述半导体元件。
另外,本发明的进一步其它的方案中,蚀刻方法为使用被处理基板上的图案化了的掩模进行被处理基板的蚀刻的蚀刻方法,其中,掩模对193nm附近的波长具备90%以上的吸收率。
发明的效果
若利用这种蚀刻方法和基板处理方法,则仅碳氟化合物层一层就具备作为防反射膜和硬掩模的功能。若如此则在掩模形成工序时,可以仅形成碳氟化合物层,因此可以实现工序数的减少和再现性的提高。因此,若利用这种蚀刻方法和基板处理方法,则可以提高处理的可靠性并且可以廉价地进行。
另外,若利用本发明的图案形成方法,则可以提高处理的可靠性并且可以廉价地进行。
另外,若利用本发明的半导体元件的制造方法,则可以提高处理的可靠性并且可以廉价地制造。
另外,本发明的半导体元件可以可靠性高、廉价地制造。
附图说明
图1为表示本发明的一实施方式的蚀刻方法和基板处理方法中使用的等离子体处理装置的主要部分的示意性截面图。
图2为从板厚方向看图1所示的等离子体处理装置所包括的缝隙天线板的图。
图3为表示形成三层来进行被处理基板的蚀刻的基板处理方法的代表性工序的流程图。
图4为形成了三层的硅基板的示意性截面图。
图5为防反射膜层的蚀刻结束状态的示意性截面图。
图6为有机膜层的蚀刻结束状态的示意性截面图。
图7为硬掩模层的蚀刻结束状态的示意性截面图。
图8为去除了有机膜层的状态的示意性截面图。
图9为表示有机膜层的膜厚与反射率之间的关系的图表。
图10为表示形成碳氟化合物层、进行被处理基板W的蚀刻时的代表性处理工序的流程图。
图11为形成了碳氟化合物层等的硅基板的示意性截面图。
图12为碳氟化合物层的蚀刻结束状态的示意性截面图。
图13为去除了抗蚀层的状态的示意性截面图。
图14为表示碳氟化合物层中光的波长与反射率、吸收率、透过率这些比率之间的关系的图。
图15为表示SiCN膜中光的波长与反射率、吸收率、透过率这些比率之间的关系的图。
图16为表示光的波长193nm附近的碳氟化合物层中的光的波长与反射率等比率之间的关系的图。
图17为表示光的波长193nm附近的SiCN膜中的光的波长与反射率等比率之间的关系的图。
图18为表示形成碳氟化合物层、进行被处理基板W的蚀刻时的代表性处理工序的流程图。
图19为形成了碳氟化合物层等的硅基板的示意性截面图。
图20为SiO2膜的蚀刻结束状态的示意性截面图。
图21为碳氟化合物层的蚀刻结束状态的示意性截面图。
图22为将SiO2膜作为掩模,硬掩模层的蚀刻结束状态的示意性截面图。
具体实施方式
以下参照附图对本发明的实施方式进行说明。首先,对本发明的一实施方式的蚀刻方法和基板处理方法中使用的等离子体处理装置的结构和操作进行说明。图1为示意性表示本发明的一实施方式的蚀刻方法和基板处理方法中使用的等离子体处理装置的结构的示意性截面图。图2为从板厚方向看图1所示的等离子体处理装置所具备的缝隙天线板的图。
参照图1和图2,等离子体处理装置11为以微波作为等离子体源的微波等离子体处理装置。该等离子体处理装置11可以进行等离子体蚀刻处理和等离子体CVD处理。等离子体处理装置11具备:处理容器12,在其内部具有对被处理基板W进行等离子体处理的处理空间;气体供给部13,向处理容器12内供给等离子体处理用的气体等;支撑台14,设置在处理容器12内、在其上支撑被处理基板W;微波发生器15,设置在处理容器12的外部、产生等离子体激发用的微波;波导管16和同轴波导管17,将通过微波发生器15产生的微波导入到处理容器12内;电介质板18,与同轴波导管17的下方端部连接、使通过同轴波导管17导入的微波在径向上传播;缝隙天线板20,配置在电介质板18的下方侧、具有多个用以辐射通过电介质板18传播的微波的缝隙(长孔)19;电介质窗21,配置在缝隙天线板20的下方侧、使由缝隙19辐射的微波在径向传播并且透过到处理容器12内;和控制部(未图示),对等离子体处理装置11整体进行控制。控制部对气体供给部13中的气体流量、处理容器12内的压力等用于对被处理基板W进行等离子体处理的工艺条件进行控制。需要说明的是,从容易理解的观点考虑,图1中示意性示出缝隙19的开口形状。
处理容器12包括:底部22,位于支撑台14的下方侧;侧壁23,由底部22的外周向上方向延伸;和环状部件24,载置在侧壁23的上方侧来配置、在其上能够载置电介质窗21。侧壁23为圆筒状。在处理容器12的底部22设置排气用的排气孔25。处理容器12的上部侧开口,通过配置在处理容器12的上部侧的电介质窗21,以及夹在电介质窗21与处理容器12、具体而言与构成处理容器12的环状部件24之间的作为密封部件的O环26,处理容器12被构成为能够密封。
对于支撑台14而言,射频(RF、radio frequency)偏压用的高频电源27介由匹配单元28与支撑台14内的电极电连接。该高频电源27以规定的功率输出适于对引入到被处理基板W的离子的能量进行控制的恒定的频率、例如13.56MHz的高频。匹配单元28容纳用于在高频电源27侧的阻抗与主要是电极、等离子体、处理容器12这些负荷侧的阻抗之间进行匹配的匹配器,该匹配器中包括自偏压生成用的隔直电容器。另外,虽然未图示,但是支撑台14还可以具备支撑被处理基板W的支撑机构、进行温度调节的温度调节机构。
气体供给部13包括:中央气体供给部32,具有向着被处理基板W的中央供给气体的气体供给口31;和外部气体供给部35,其由圆环状的中空状部件33构成,具有向着径向内侧供给气体的气体供给口34。
中央气体供给部32和外部气体供给部35分别由处理容器12外部向处理容器12内供给等离子体处理用的气体等。对于由气体供给口31、34供给的气体的各自的流通方向,以图1中的箭头F1和F2进行图示。需要说明的是,关于由中央气体供给部32和外部气体供给部35供给的气体的流量比等,可以任意选择,例如可以完全不由中央气体供给部32供给气体,而仅由外部气体供给部35向处理容器12内供给气体。
具有微波匹配器36的微波发生器15连接在波导管16的上游侧,所述波导管16通过由中心导体37和外周导体38构成的同轴波导管17以及波型转换器39导入微波。关于构成同轴波导管17、均为圆筒状的中心导体37和外周导体38,使径向的中心一致、中心导体37的外径面与外周导体38的内径面空出间隔、在图1中的纸面上下方向延伸来配置。例如,由微波发生器15发生的TE波型的微波通过波导管16,利用波型转换器39转换为TEM波型,在同轴波导管17中传播。作为微波发生器15中发生的微波的频率,例如可选择2.45GHz。
缝隙天线板20为薄板状、且为圆板状。缝隙天线板20的板厚方向的两表面分别平坦。在缝隙天线板20设置有多个贯通板厚方向的缝隙19。缝隙19以一方方向长的第一缝隙41、和在与第一缝隙41正交的方向长的第二缝隙42邻接而形成一对的方式形成。具体而言,以邻接的两个缝隙41、42形成一对、空出间隔、并正交的方式配置来构成。即,缝隙天线板20为具有由在一方向延伸的第一缝隙41和在相对于该一方向垂直的方向延伸的第二缝隙42构成的缝隙对43的结构。需要说明的是,对于缝隙对43的一例,以用图2中的虚线表示的区域进行图示。
所设置的缝隙对43大致分为配置在内周侧的内周侧缝隙对组44和配置在外周侧的外周侧缝隙对组45。内周侧缝隙对组44中,7对缝隙对43分别等间隔地配置在周向上。外周侧缝隙对组45中,28对缝隙对43分别等间隔地配置在周向上。在缝隙天线板20的径向的中央也设置有贯通孔46。缝隙天线板20具有以径向的中心47作为中心的旋转对称性。
电介质窗21为大致圆板状、并具有规定的板厚。电介质窗21由电介质构成,作为电介质窗21的具体材质,可列举出石英、氧化铝等。电介质窗21使图1中的下侧载置在环状部件24上而气密地安装、配备在等离子体处理装置11中。电介质窗21中,在配备在等离子体处理装置11中时成为生成等离子体侧的下表面48的径向外侧区域设置有电介质窗凹部49,该电介质窗凹部49以环状连接、向着电介质窗21的板厚方向内方侧、此处为向图1中的纸面上方向以渐缩状凹陷。通过该电介质窗凹部49,可以在电介质窗21的径向外侧区域形成电介质窗21的厚度连续变化的区域,从而形成具有适于生成等离子体的各种工艺条件的电介质窗21的厚度的共振区域。若如此则可以根据各种工艺条件,确保电介质窗21的下部区域中的等离子体的高稳定性。
通过微波发生器15产生的微波介由同轴波导管17传播到电介质板18,从设置在缝隙天线板20的多个缝隙19辐射到电介质窗21。透过电介质窗21的微波在电介质窗21的正下方产生电场,在处理容器12内生成等离子体。在电介质窗21的正下方生成的等离子体在离开电介质窗21的方向、即向着支撑台14的方向扩散。从而,在包括载置在支撑台14的被处理基板W的等离子体扩散区域进行对于被处理基板W的等离子体蚀刻处理等等离子体处理。等离子体处理装置11中供于处理的微波等离子体通过包括上述结构的缝隙天线板20和电介质窗21的径向线缝隙天线(Radial Line Slot Antenna)生成。根据这种等离子体处理装置11,可以以比较低的电子温度和比较高的电子密度进行等离子体处理,因此处理时对被处理基板W的等离子体损伤得到抑制,可以进行高速的处理。
其中,对硅基板等被处理基板进行45nm以下的微细蚀刻时,有形成硬掩模层、有机膜层(光学的分散层)、防反射膜层(ARC(Anti Reflective Coating)层)的三层来进行蚀刻的方法。在此对形成三层来进行被处理基板的蚀刻的方法进行简单说明。
图3为表示这种情况下的基板处理方法的代表性工序的流程图。图4为形成了3层的硅基板的示意性截面图。参照图3和图4,首先在作为被处理基板的硅基板51上形成硬掩模层52(图3的(A))。硬掩模层52例如由SiN膜构成。接着,在所形成的硬掩模层52上形成比较厚的有机膜层53。具体而言,虽然取决于其材质,但是为了确保充分的防反射性能,而形成具有200nm左右厚度的有机膜层53(图3的(B))。接着,在所形成的有机膜层53上形成数十nm左右的防反射膜(ARC)层54(图3的(C))。防反射膜层54例如由含有硅(Si)的膜、具体而言被称为Si-ARC的膜构成。对于所形成的防反射膜54的厚度而言,虽然取决于其材质,但是大致为40nm左右。至此的工序为三层掩模的形成工序。需要说明的是,将光致抗蚀剂图案化时,有机膜层53和防反射膜层54形成抑制曝光光的反射的膜。为了防止反射,需要为上述程度的比较厚的膜。
接着,在防反射膜层54上形成抗蚀层55(图3的(D))。然后,使用光源(未图示)进行曝光、显影以形成规定的形状,进行抗蚀层55的图案化(图3的(E))。进行至抗蚀层55的图案化的状态为图4所示的状态。
然后,将进行了图案化的抗蚀层55作为掩模、进行防反射膜54的蚀刻(图3的(F))。图5为防反射膜层54的蚀刻结束状态的示意性截面图。防反射膜层54的蚀刻结束后,进行有机膜层53的蚀刻(图3的(G))。有机膜层53的蚀刻时,也将抗蚀层55蚀刻、去除。图6为有机膜层53的蚀刻结束状态的示意性截面图。
然后,将所残留的有机膜层53作为掩模、进行硬掩模层52的蚀刻(图3的(H))。图7为硬掩模层52的蚀刻结束状态的示意性截面图。接着,去除有机膜层53,将所残留的硬掩模层52作为掩模、进行硅基板51的蚀刻。如此进行硅基板51的蚀刻。图8为去除了有机膜层53的状态的示意性截面图。
这种使用了三层掩模的蚀刻方法在以下方面是不利的。首先,对于有机膜层而言,如以上所述那样需要形成比较厚的厚度。图9为表示在有机膜层上层叠了约30nm左右的Si-ARC时的有机膜的膜厚与照射193nm波长的光时的光的反射率之间的关系的图。纵轴表示光的反射率(比率),横轴表示有机膜的膜厚(nm)。
参照图9可知,直至有机膜层为100nm左右,随着有机膜层变薄而光的反射率升高。因此,为了充分减小曝光时的光的反射,需要至少使有机膜层为100nm以上。这种厚膜的形成从提高生产率的观点考虑也是不利的。即,难以单纯地使有机膜层变薄来实现起因于膜厚的生产率的提高。
另外,对于所形成的三层,需要分别进行蚀刻,需要对各层具有蚀刻中的选择性。另外,这种使用不同材料的层的形成导致蚀刻工艺的再现性降低并且导致工序数的增多。另外也认为,对于这种不同的层,难以使用相同的等离子体处理装置进行蚀刻,结果必然导致等离子体处理装置数目的增加,从而导致制造成本的升高。
以下对使用上述等离子体处理装置11形成碳氟化合物层来进行蚀刻的方法进行说明。图10为表示使用图1所示的等离子体处理装置11形成碳氟化合物层、进行被处理基板W的蚀刻时的代表性处理工序的流程图。另外,图11为形成了碳氟化合物层等的硅基板的示意性截面图。
参照图10和图11,首先在作为被处理基板的硅基板56上形成碳氟化合物层57(图10的(A))。此时,使用上述等离子体处理装置11,利用等离子体CVD处理在硅基板56上形成碳氟化合物层57。此时,例如作为等离子体激发用气体,使用Ar气体等稀有气体,作为形成碳氟化合物层的成膜气体,使用碳氟化合物气体例如C5F8气体。即,由配备在上述等离子体处理装置11中的气体供给部13供给含有这种气体的混合气体,进行等离子体CVD处理。
接着,利用涂布显影装置在碳氟化合物层57上形成抗蚀层58(图10的(B))。然后,对抗蚀层58进行曝光、显影,以规定的形状进行图案化(图10的(C))。此时,还可以通过浸液曝光来进行。这种情况下的位于抗蚀层58的下层的碳氟化合物层57具有作为防反射膜层的功能。进行至抗蚀层55的图案化的状态为图11所示的状态。
接着,将以规定形状图案化了的抗蚀层58作为掩模、进行碳氟化合物层57的蚀刻(图10的(D))。以下示出蚀刻条件的一例。使微波功率为2500W,偏压功率(频率13.56MHz)为150W(300mm晶圆、单位面积为0.21W/cm2),蚀刻气体为氩(Ar)气体、溴化氢(HBr)气体、氧气(O2),Ar/HBr/O2=1000sccm/500sccm/20sccm,处理容器内的压力为20mTorr(毫托)(2.66Pa),被处理基板的支撑台的温度为20℃来进行蚀刻。作为蚀刻气体,还可以通过使用Cl2、CHF3、CH2F2、CH3F来替代HBr,调整条件来进行蚀刻。
图12为碳氟化合物层57的蚀刻结束状态的示意性截面图。然后,去除作为掩模的抗蚀层58(图10的(E))。图13为去除了抗蚀层58的状态的示意性截面图。然后,将所残留的碳氟化合物层57作为掩模、进行硅基板51的蚀刻(图10的(F))。此时,碳氟化合物层57发挥作为硬掩模层的功能。如此,进行硅基板51的蚀刻。
即,本发明的一实施方式的基板处理方法,其包括:在被处理基板上形成碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在所形成的碳氟化合物层上形成抗蚀层的抗蚀层形成工序;将所形成的抗蚀层图案化而形成规定的形状的图案化工序;将图案化了的抗蚀层作为掩模、进行碳氟化合物层的蚀刻的碳氟化合物层蚀刻工序;和将经过蚀刻的碳氟化合物层作为掩模、进行被处理基板的蚀刻的被处理基板蚀刻工序。
另外,本发明的一实施方式的蚀刻方法中,将被处理基板上的图案化了的碳氟化合物层作为掩模、进行被处理基板的蚀刻。
若利用这种蚀刻方法和基板处理方法,则仅碳氟化合物层一层就具备作为防反射膜和硬掩模的功能。若如此则在掩模形成工序时,可以仅形成碳氟化合物层,因此可以实现工序数的减少和再现性的提高。因此,若利用这种蚀刻方法和基板处理方法,则可以提高处理的可靠性并且可以廉价地进行。
另外,本发明的一实施方式的图案形成方法,其为形成进行被处理基板的蚀刻时的图案的图案形成方法,其包括:在被处理基板上形成碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在所形成的碳氟化合物层上形成抗蚀层的抗蚀层形成工序;将所形成的抗蚀层图案化而形成规定的形状的图案化工序;和将图案化了的抗蚀层作为掩模、进行碳氟化合物层的蚀刻,形成进行被处理基板的蚀刻时的碳氟化合物层的图案的图案形成工序。
若利用这种图案形成方法,则可以提高处理的可靠性并且可以廉价地进行。
另外,本发明的一实施方式的半导体元件的制造方法,其为对被处理基板进行蚀刻而制造的半导体元件的制造方法,其包括:在被处理基板上形成碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在所形成的碳氟化合物层上形成抗蚀层的抗蚀层形成工序;将所形成的抗蚀层图案化而形成规定的形状的图案化工序;将图案化了的抗蚀层作为掩模、进行碳氟化合物层的蚀刻的碳氟化合物层蚀刻工序;和将经过蚀刻的碳氟化合物层作为掩模、进行被处理基板的蚀刻的被处理基板蚀刻工序。
若利用这种半导体元件的制造方法,则可以提高处理的可靠性并且可以廉价地制造。
另外,本发明的一实施方式的半导体元件如下制造:在被处理基板上形成碳氟化合物(CFx:x为任意的数)层,在所形成的碳氟化合物层上形成抗蚀层,将所形成的抗蚀层图案化而形成规定的形状,将图案化了的抗蚀层作为掩模、进行碳氟化合物层的蚀刻,将经过蚀刻的碳氟化合物层作为掩模、进行被处理基板的蚀刻,从而制造上述半导体元件。
这种半导体元件的可靠性高,可以廉价地制造。
在此,如下所述对碳氟化合物层的作为硬掩模的功能进行简单说明。即,碳氟化合物层为致密且与Si-ARC膜、有机膜相比比较硬的膜。因此,作为硬掩模具有充分的功能。另外,若使用这种比较硬的碳氟化合物层,则还可以实现蚀刻中的选择比的提高。
另外,碳氟化合物层的耐热性也良好。因此,可以减小热收缩等的影响,从而可以进行高精度的蚀刻。
如下所述对碳氟化合物层的作为防反射膜的功能进行简单说明。图14为表示碳氟化合物层中光的波长与反射率、吸收率、透过率这些比率之间的关系的图。另外,为了参考,还表示SiCN膜的情况。图15为表示SiCN膜中光的波长与反射率等比率之间的关系的图。
图16为表示光(ArF)的波长193nm附近的碳氟化合物层中的光的波长与反射率等比率之间的关系的图。图17为表示光(ArF)的波长193nm附近的SiCN膜中的光的波长与反射率等比率之间的关系的图。图14~图17中,纵轴表示反射率、吸收率、透过率这些比率,横轴表示光的波长(nm)。
参照图14~图17可知,碳氟化合物层的情况下,193nm附近的反射率非常低、为接近0的值。另外,193nm下的吸收率为0.9、即超过90%,几乎不会反射地吸收光。即可知,碳氟化合物层具备防反射效果。本发明的一实施方式的蚀刻方法为使用被处理基板上的图案化了的掩模进行被处理基板的蚀刻的方法,其中,掩模对193nm附近的波长具备90%以上的吸收率。需要说明的是,SiCN膜的情况下,193nm附近的反射率为稍微低于0.2的程度。另外,193nm附近的吸收率为0.8左右。
进而,对于反射率而言,193nm的附近的波长下的反射率也是重要的,碳氟化合物层的情况下,192nm、194nm这些波长下的反射率等与193nm下的反射率等大致同等。
关于可靠性的提高,具体而言,微细蚀刻工序中,可以进行提高了线宽粗糙度(LWR、Line Width Roughness)的蚀刻。以下对LWR进行说明,再次参照图11~图13,抗蚀层58的图案化时,点59a、59b所示的部分以在纸面表背方向笔直延伸的边界线方式形成,由点59a、59b所示部分表示的边界线的间隔,在纸面表背方向形成恒定的宽度是理想的。对于由基于该边界线通过蚀刻形成的点60a、点60b所示部分形成的边界线而言,在纸面表背方向笔直延伸、其间隔在纸面表背方向形成恒定的宽度是理想的。但是,随着蚀刻次数增加或者作为蚀刻对象的膜增厚,而边界线弯曲或纸面表背方向的各位置的宽度大幅不同,该LWR特性差。但是,若根据上述形成碳氟化合物层来进行蚀刻的方法,则从除了仅形成一层之外、还可以使其厚度变薄的观点考虑,蚀刻后的该LWR变得良好。
另外,这种形成碳氟化合物层的基板处理方法中,当然可以适用于通常的曝光,但是若适用浸液曝光则是有利的。以下对此时的浸液曝光进行简单说明。例如在实施图案化之前的抗蚀层58与设置在抗蚀层58的上侧的接近抗蚀层58的位置并进行曝光的光源(未图示)之间夹设超纯水。接着,使用光源进行曝光,从而进行抗蚀层的图案化。若进行这种浸液曝光,则与进行通常的曝光相比,可以形成微细的抗蚀图案。此时,碳氟化合物层由于具有疏水性,在进行上述浸液曝光时是非常有利的。即,在浸液曝光时夹设超纯水的情况下,超纯水渗入到膜中而掩模形状变形的可能性小,可以形成良好的图案。
需要说明的是,除了上述碳氟化合物层之外,从密合性或蚀刻形状的观点考虑,还可以在碳氟化合物层的上下的层形成SiCN膜,使碳氟化合物层和SiCN膜形成层叠结构。另外,还可以在其上下的层形成表现出与SiCN膜同等的吸收率等的SiCO膜、无定形碳(α-C)膜,形成层叠结构。具体而言,还可以包括在碳氟化合物层与抗蚀层之间形成SiCN层、SiCO层和无定形碳层中的至少任意一层的工序,还可以包括在碳氟化合物层与被处理基板之间形成SiCN层、SiCO层和无定形碳层中的至少任意一层的工序。即,可以在被处理基板与碳氟化合物层之间夹设有SiCN层、SiCO层和无定形碳层中的至少任意一层,还可以在碳氟化合物层上形成SiCN层、SiCO层和无定形碳层中的至少任意一层。当然也可以在碳氟化合物层的上下形成这种膜,形成层叠结构。这种SiCN膜、SiCO膜和无定形碳膜例如可以用作蚀刻中的阻止膜。对于这种SiCN膜、SiCO膜和无定形碳膜,为了提高膜的密合性,可以在成膜之前进行药液处理、等离子体处理等表面处理。在形成抗蚀剂之前,为了提高疏水性的碳氟化合物层等的表面与所形成的抗蚀剂的密合性,还可以通过N2等离子体进行处理或通过药液进行处理。
接着对将这种膜、即碳氟化合物层适用于双图案化的芯材的情况进行说明。图18为表示此时的处理流程的流程图。另外,图19为形成了碳氟化合物层等的硅基板的示意性截面图。
参照图18和图19,首先在作为被处理基板的硅基板61上形成硬掩模层62(图18的(A))。然后,在所形成的硬掩模层62上形成碳氟化合物层63(图18的(B))。然后,对所形成的碳氟化合物层63进行蚀刻,以规定的形状进行图案化(图18的(C))。以覆盖进行了图案化的碳氟化合物层63和在碳氟化合物层63之间露出的硬掩模层62的方式,形成作为含硅膜层的SiO2间隔物层64(图18的(D))。
然后,以残留位于碳氟化合物层63的侧壁65侧的SiO2间隔物层64、去除形成在碳氟化合物层63的上侧的SiO2间隔物层64和形成在硬掩模层62的上侧的SiO2间隔物层64的方式,进行SiO2层的蚀刻(图18的(E))。图20为SiO2层的蚀刻结束状态的示意性截面图。
然后,以去除位于所残留的SiO2层之间的碳氟化合物层63的方式进行蚀刻(图18的(F))。若如此则仅蚀刻后残留的形成在碳氟化合物层63的侧壁65侧的SiO2间隔物层64残留在硬掩模层62上。图21为碳氟化合物层的蚀刻结束状态的示意性截面图。
将该所残留的SiO2间隔物层64作为掩模、进行硬掩模层62的蚀刻(图18的(G))。图22为将SiO2间隔物层64作为掩模、硬掩模层62的蚀刻结束状态的示意性截面图。然后,将所残留的硬掩模层62作为掩模、进行硅基板61的蚀刻(图18的(H))。
即,本发明的其它实施方式的基板处理方法包括:在被处理基板上形成硬掩模层的硬掩模层形成工序;在硬掩模层形成工序之后,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在碳氟化合物层形成工序之后,以覆盖所形成的碳氟化合物层和在碳氟化合物层之间露出的硬掩模层的方式、形成含硅膜的含硅膜形成工序;在含硅膜形成工序之后,以残留位于碳氟化合物层的侧壁侧的含硅膜、去除位于碳氟化合物层的上侧和硬掩模层的上侧的含硅膜的方式进行蚀刻的含硅膜蚀刻工序;在含硅膜蚀刻工序之后,以去除位于侧壁之间的碳氟化合物层的方式进行蚀刻的碳氟化合物层蚀刻工序;在碳氟化合物层蚀刻工序之后,将所残留的含硅膜作为掩模、进行硬掩模层的蚀刻的硬掩模层蚀刻工序;和在硬掩模层蚀刻工序之后,将所残留的硬掩模层作为掩模、进行被处理基板的蚀刻的被处理基板蚀刻工序。
SiO2膜若在高温下形成,则形成硬且致密的膜,因此维持高的选择比,可以如设计形状那样进行蚀刻。在此,若使用碳氟化合物膜,则具有400℃左右的耐热性,因此可以以比较高的温度形成SiO2膜。关于这种以比较高的温度形成SiO2膜的技术,公开于国际专利公开公报WO2009/139485中。另外,碳氟化合物膜由于热收缩的值也小,对作为芯材的碳氟化合物进行蚀刻时,由于应力而碳氟化合物层的侧壁侧图案(间隔物)被拉伸并倒向芯材侧的现象也得到抑制。因而可以正确地进行此后的蚀刻处理。
作为双图案化的芯材,例如使用抗蚀剂等的有机膜来替代碳氟化合物层时,通常耐热性低。若如此则对于此后形成的SiO2膜需要以低温形成。若如此则所残留的SiO2间隔物在硬掩模层的蚀刻时倒塌或者蚀刻时的选择比减小,有可能不能如设计那样进行蚀刻。
另外,本发明的一实施方式的图案形成方法为形成进行被处理基板的蚀刻时的图案的图案形成方法,其包括:在被处理基板上形成硬掩模层的硬掩模层形成工序;在硬掩模层形成工序之后,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在碳氟化合物层形成工序之后,以覆盖所形成的碳氟化合物层和在碳氟化合物层之间露出的硬掩模层的方式、形成含硅膜的含硅膜形成工序;在含硅膜形成工序之后,以残留位于碳氟化合物层的侧壁侧的含硅膜、去除位于碳氟化合物层的上侧和硬掩模层的上侧的含硅膜的方式进行蚀刻的含硅膜蚀刻工序;和在含硅膜蚀刻工序之后,以去除位于侧壁之间的碳氟化合物层的方式进行蚀刻,形成进行被处理基板的蚀刻时的碳氟化合物层的图案的图案形成工序。
若利用这种图案形成方法,则可以提高处理的可靠性并且可以廉价地进行。
另外,本发明的其它实施方式的半导体元件的制造方法为对被处理基板进行蚀刻而制造的半导体元件的制造方法,其包括:在被处理基板上形成硬掩模层的硬掩模层形成工序;在硬掩模层形成工序之后,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;在碳氟化合物层形成工序之后,以覆盖所形成的碳氟化合物层和在碳氟化合物层之间露出的硬掩模层的方式、形成含硅膜的含硅膜形成工序;在含硅膜形成工序之后,以残留位于碳氟化合物层的侧壁侧的含硅膜、去除位于碳氟化合物层的上侧和硬掩模层的上侧的含硅膜的方式进行蚀刻的含硅膜蚀刻工序;在含硅膜蚀刻工序之后,以去除位于侧壁之间的碳氟化合物层的方式进行蚀刻的碳氟化合物层蚀刻工序;在碳氟化合物层蚀刻工序之后,将所残留的含硅膜作为掩模、进行硬掩模层的蚀刻的硬掩模层蚀刻工序;和在硬掩模层蚀刻工序之后,将所残留的硬掩模层作为掩模、进行被处理基板的蚀刻的被处理基板蚀刻工序。
若利用这种半导体元件的制造方法,则可以提高蚀刻处理的可靠性并且可以廉价地制造。
另外,本发明的其它实施方式的半导体元件如下制造:在被处理基板上形成硬掩模层,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层,以覆盖所形成的碳氟化合物层和在碳氟化合物层之间露出的硬掩模层的方式形成含硅膜,以残留位于碳氟化合物层的侧壁侧的含硅膜、去除位于碳氟化合物层的上侧和硬掩模层的上侧的含硅膜的方式进行蚀刻,以去除位于侧壁之间的碳氟化合物层的方式进行蚀刻,将所残留的含硅膜作为掩模、进行硬掩模层的蚀刻,将所残留的硬掩模层作为掩模、进行被处理基板的蚀刻,从而制造上述半导体元件。
这种半导体元件的可靠性高,可以廉价地制造。
需要说明的是,上述实施方式中,硬掩模层为SiN膜,但是不限于此,也可以为其它的膜。
需要说明的是,作为上述实施方式,被处理基板为硅基板,但是不限于此,例如可以使被处理基板、即处理的对象物为层间膜。
另外,上述实施方式中,对于碳氟化合物层的形成而言,通过等离子体增强化学气相沉积(等离子体CVD(PE(PlasmaEnhanced)-CVD))形成,但是不限于此,也可以使用其它的CVD法形成碳氟化合物层。
需要说明的是,上述实施方式中,使用C5H8气体进行等离子体CVD处理,但是不限于此,也可以适用CF4、C2F6、C4F8等CyFz(y、z分别为任意的数)所示的碳氟化合物系气体。
另外,在此,如前述所述,作为等离子体蚀刻中的蚀刻气体,可以使用含有O(氧)、Br(溴)、Cl(氯)、F(氟)、N(氮)中的任意元素的蚀刻气体与等离子体生成用的Ar等稀有气体混合而成的混合气体。
另外,上述实施方式中,通过使用缝隙天线板的径向线缝隙天线板产生的微波进行等离子体处理,但是不限于此,还可以使用具有梳型天线部的微波等离子体处理装置、由缝隙辐射微波而生成表面波等离子体的微波等离子体处理装置。进而,不限于使用微波的等离子体处理,例如还可以使用平行平板型等离子体、电感耦合等离子体(ICP、Inductively-CoupledPlasma)、电子回旋共振(ECR、Electron Cyclotron Resonance)等离子体等其它的等离子体。
以上参照附图对本发明的实施方式进行了说明,但是本发明不被图示的实施方式限定。对于图示的实施方式,在与本发明相同范围内或等同的范围内,可以进行各种修正、变形。
附图标记说明
11  等离子体处理装置、12  处理容器、13  气体供给部、14  支撑台、15  微波发生器、16  波导管、17  同轴波导管、18电介质板、19,41,42  缝隙、20  缝隙天线板、21  电介质窗、22底部、23  侧壁、24  环状部件、25  排气孔、26  O环、27  高频电源、28  匹配单元、31,34  气体供给口、32  中心气体供给部、33  中空状部件、35  外部气体供给部、36  微波匹配器、37  中心导体、38  外周导体、39  波型转换器、43  缝隙对、44  内周侧缝隙对组、45  外周侧缝隙对组、46  贯通孔、47  中心、48  下表面、49  电介质窗凹部、51,56,61  硅基板、52,62  硬掩模层、53  有机膜层、54  防反射膜层、55,58  抗蚀层、57,63  碳氟化合物层、59a,59b,60a,60b  点、64  SiO2膜、65 侧壁。

Claims (22)

1.一种蚀刻方法,其将被处理基板上的图案化了的碳氟化合物层作为掩模,进行所述被处理基板的蚀刻。
2.根据权利要求1所述的蚀刻方法,其中,在所述被处理基板与所述碳氟化合物层之间夹设有SiCN层、SiCO层和无定形碳层中的至少任意一层。
3.根据权利要求1所述的蚀刻方法,其中,在所述碳氟化合物层上形成有SiCN层、SiCO层和无定形碳层中的至少任意一层。
4.一种基板处理方法,其为进行被处理基板的处理的基板处理方法,其包括:
在被处理基板上形成碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;
在所形成的所述碳氟化合物层上形成抗蚀层的抗蚀层形成工序;
将所形成的抗蚀层图案化而形成规定的形状的图案化工序;
将图案化了的抗蚀层作为掩模、进行所述碳氟化合物层的蚀刻而进行碳氟化合物层的图案化的碳氟化合物层蚀刻工序;和
将图案化了的所述碳氟化合物层作为掩模、进行所述被处理基板的蚀刻的被处理基板蚀刻工序。
5.根据权利要求4所述的基板处理方法,其包括在所述碳氟化合物层与所述抗蚀层之间形成SiCN层、SiCO层和无定形碳层中的至少任意一层的工序。
6.根据权利要求4所述的基板处理方法,其包括在所述碳氟化合物层与所述被处理基板之间形成SiCN层、SiCO层和无定形碳层中的至少任意一层的工序。
7.根据权利要求4所述的基板处理方法,其中,所述图案化工序包括浸液曝光工序。
8.根据权利要求7所述的基板处理方法,其中,所述浸液曝光工序中的光源包含ArF准分子激光。
9.一种基板处理方法,其为进行被处理基板的处理的基板处理方法,其包括:
在被处理基板上形成硬掩模层的硬掩模层形成工序;
在所述硬掩模层形成工序之后,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;
在所述碳氟化合物层形成工序之后,以覆盖所形成的所述碳氟化合物层和在所述碳氟化合物层之间露出的所述硬掩模层的方式,形成含硅膜的含硅膜形成工序;
在所述含硅膜形成工序之后,以残留位于所述碳氟化合物层的侧壁侧的含硅膜、去除位于所述碳氟化合物层的上侧和所述硬掩模层的上侧的含硅膜的方式进行蚀刻的含硅膜蚀刻工序;
在所述含硅膜蚀刻工序之后,以去除位于所述侧壁之间的所述碳氟化合物层的方式进行蚀刻的碳氟化合物层蚀刻工序;
在所述碳氟化合物层蚀刻工序之后,将所残留的所述含硅膜作为掩模、进行硬掩模层的蚀刻的硬掩模层蚀刻工序;和
在所述硬掩模层蚀刻工序之后,将所残留的硬掩模层作为掩模、进行所述被处理基板的蚀刻的被处理基板蚀刻工序。
10.根据权利要求9所述的基板处理方法,其中,所述含硅膜包含SiO2膜。
11.根据权利要求9所述的基板处理方法,其中,所述硬掩模层包含SiN膜。
12.根据权利要求4所述的基板处理方法,其中,所述碳氟化合物层形成工序通过等离子体CVD进行。
13.根据权利要求4所述的基板处理方法,其中,所述碳氟化合物层形成工序使用C5F8气体形成。
14.根据权利要求4所述的基板处理方法,其中,所述蚀刻通过等离子体蚀刻进行。
15.根据权利要求4所述的基板处理方法,其中,所述蚀刻使用将微波等离子体作为等离子体源、通过径向线缝隙天线(Radial Line Slot Antenna)生成的等离子体来进行。
16.一种图案形成方法,其为形成进行被处理基板的蚀刻时的图案的图案形成方法,其包括:
在被处理基板上形成碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;
在所形成的所述碳氟化合物层上形成抗蚀层的抗蚀层形成工序;
将所形成的抗蚀层图案化而形成规定的形状的图案化工序;和
将图案化了的抗蚀层作为掩模、进行所述碳氟化合物层的蚀刻,形成进行被处理基板的蚀刻时的碳氟化合物层的图案的图案形成工序。
17.一种图案形成方法,其为形成进行被处理基板的蚀刻时的图案的图案形成方法,其包括:
在被处理基板上形成硬掩模层的硬掩模层形成工序;
在所述硬掩模层形成工序之后,在所形成的硬掩模层上,形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;
在所述碳氟化合物层形成工序之后,以覆盖所形成的所述碳氟化合物层和在所述碳氟化合物层之间露出的所述硬掩模层的方式、形成含硅膜的含硅膜形成工序;
在所述含硅膜形成工序之后,以残留位于所述碳氟化合物层的侧壁侧的含硅膜、去除位于所述碳氟化合物层的上侧和所述硬掩模层的上侧的含硅膜的方式进行蚀刻的含硅膜蚀刻工序;和
在所述含硅膜蚀刻工序之后,以去除位于所述侧壁之间的所述碳氟化合物层的方式进行蚀刻,形成进行被处理基板的蚀刻时的碳氟化合物层的图案的图案形成工序。
18.一种半导体元件的制造方法,其为对被处理基板进行蚀刻而制造的半导体元件的制造方法,其包括:
在被处理基板上形成碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;
在所形成的所述碳氟化合物层上形成抗蚀层的抗蚀层形成工序;
将所形成的抗蚀层图案化而形成规定的形状的图案化工序;
将图案化了的抗蚀层作为掩模、进行所述碳氟化合物层的蚀刻而进行碳氟化合物层的图案化的碳氟化合物层蚀刻工序;和
将图案化了的所述碳氟化合物层作为掩模、进行所述被处理基板的蚀刻的被处理基板蚀刻工序。
19.一种半导体元件的制造方法,其为对被处理基板进行蚀刻而制造的半导体元件的制造方法,其包括:
在被处理基板上形成硬掩模层的硬掩模层形成工序;
在所述硬掩模层形成工序之后,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层的碳氟化合物层形成工序;
在所述碳氟化合物层形成工序之后,以覆盖所形成的所述碳氟化合物层和在所述碳氟化合物层之间露出的所述硬掩模层的方式、形成含硅膜的含硅膜形成工序;
在所述含硅膜形成工序之后,以残留位于所述碳氟化合物层的侧壁侧的含硅膜、去除位于所述碳氟化合物层的上侧和所述硬掩模层的上侧的含硅膜的方式进行蚀刻的含硅膜蚀刻工序;
在所述含硅膜蚀刻工序之后,以去除位于所述侧壁之间的所述碳氟化合物层的方式进行蚀刻的碳氟化合物层蚀刻工序;
在所述碳氟化合物层蚀刻工序之后,将所残留的所述含硅膜作为掩模、进行硬掩模层的蚀刻的硬掩模层蚀刻工序;和
在所述硬掩模层蚀刻工序之后,将所残留的硬掩模层作为掩模、进行所述被处理基板的蚀刻的被处理基板蚀刻工序。
20.一种半导体元件,其如下制造:在被处理基板上形成碳氟化合物(CFx:x为任意的数)层,在所形成的所述碳氟化合物层上形成抗蚀层,将所形成的抗蚀层图案化而形成规定的形状,将图案化了的抗蚀层作为掩模、进行所述碳氟化合物层的蚀刻而进行所述碳氟化合物层的图案化,将图案化了的所述碳氟化合物层作为掩模、进行所述被处理基板的蚀刻,从而制造所述半导体元件。
21.一种半导体元件,其如下制造:在被处理基板上形成硬掩模层,在所形成的硬掩模层上形成以规定的形状图案化了的碳氟化合物(CFx:x为任意的数)层,以覆盖所形成的所述碳氟化合物层和在所述碳氟化合物层之间露出的所述硬掩模层的方式形成含硅膜,以残留位于所述碳氟化合物层的侧壁侧的含硅膜、去除位于所述碳氟化合物层的上侧和所述硬掩模层的上侧的含硅膜的方式进行蚀刻,以去除位于所述侧壁之间的所述碳氟化合物层的方式进行蚀刻,将所残留的所述含硅膜作为掩模、进行硬掩模层的蚀刻,将所残留的硬掩模层作为掩模、进行所述被处理基板的蚀刻,从而制造所述半导体元件。
22.一种蚀刻方法,其为使用被处理基板上的图案化了的掩模进行所述被处理基板的蚀刻的蚀刻方法,其中,
所述掩模对193nm附近的波长具备90%以上的吸收率。
CN201180041727.9A 2010-08-27 2011-07-29 基板处理方法、图案形成方法、半导体元件的制造方法及半导体元件 Expired - Fee Related CN103081074B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010190944 2010-08-27
JP2010-190944 2010-08-27
PCT/JP2011/067452 WO2012026286A1 (ja) 2010-08-27 2011-07-29 エッチング方法、基板処理方法、パターン形成方法、半導体素子の製造方法、および半導体素子

Publications (2)

Publication Number Publication Date
CN103081074A true CN103081074A (zh) 2013-05-01
CN103081074B CN103081074B (zh) 2015-08-26

Family

ID=45723294

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180041727.9A Expired - Fee Related CN103081074B (zh) 2010-08-27 2011-07-29 基板处理方法、图案形成方法、半导体元件的制造方法及半导体元件

Country Status (5)

Country Link
US (2) US9117764B2 (zh)
JP (1) JP5637212B2 (zh)
KR (1) KR101425760B1 (zh)
CN (1) CN103081074B (zh)
WO (1) WO2012026286A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106067411A (zh) * 2015-04-20 2016-11-02 东京毅力科创株式会社 对被处理物进行处理的方法
CN107742672A (zh) * 2012-11-14 2018-02-27 应用材料公司 用于hdd位元图案化介质图案转印的图案强化
CN109216186A (zh) * 2017-07-06 2019-01-15 东京毅力科创株式会社 蚀刻方法和残渣去除方法
CN110221499A (zh) * 2019-05-27 2019-09-10 北京航空航天大学 低边缘场串扰的LCoS微显示器
CN116390320A (zh) * 2023-05-30 2023-07-04 安徽农业大学 一种电子回旋共振放电装置及应用

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
JP6123242B2 (ja) * 2012-11-09 2017-05-10 大日本印刷株式会社 パターン形成方法
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US10366988B2 (en) * 2015-08-14 2019-07-30 International Business Machines Corporation Selective contact etch for unmerged epitaxial source/drain regions
KR101938306B1 (ko) * 2016-04-18 2019-01-14 최상준 건식 에칭장치의 제어방법
US11320738B2 (en) * 2018-06-27 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and material for manufacturing semiconductor devices
JP7090521B2 (ja) * 2018-09-26 2022-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2021231456A1 (en) * 2020-05-13 2021-11-18 Tokyo Electron Limited Method for dry etching silicon carbide films for resist underlayer applications

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1131678A (ja) * 1997-07-10 1999-02-02 Sony Corp 半導体装置の製造方法
JP2004247216A (ja) * 2003-02-14 2004-09-02 Sumitomo Electric Ind Ltd 異方性導電シートの製造方法
JP2005090987A (ja) * 2003-09-12 2005-04-07 Matsushita Electric Ind Co Ltd 昇温脱離ガス分析装置および分析方法
JP2009182181A (ja) * 2008-01-31 2009-08-13 Toshiba Corp 半導体装置
JP2009185316A (ja) * 2008-02-05 2009-08-20 Utec:Kk プラズマcvd装置及びフッ化有機膜、シランカップリング基を有する有機膜
CN101521980A (zh) * 2008-02-26 2009-09-02 东京毅力科创株式会社 等离子体处理装置及等离子体处理方法
JP2010067979A (ja) * 2008-09-11 2010-03-25 Nikon Corp パターン形成方法及びデバイス製造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
JP2001135633A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
US6846612B2 (en) 2002-02-01 2005-01-25 Brewer Science Inc. Organic anti-reflective coating compositions for advanced microlithography
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US20040161946A1 (en) * 2002-06-24 2004-08-19 Hsin-Yi Tsai Method for fluorocarbon film depositing
US20040157466A1 (en) * 2003-02-12 2004-08-12 Songlin Xu Methods of forming polymer films and of using such polymer films to form structures on substrates
KR100780199B1 (ko) 2003-08-15 2007-11-27 동경 엘렉트론 주식회사 반도체 장치 및 그 제조 방법
JP4939756B2 (ja) * 2004-01-26 2012-05-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
WO2005071756A1 (en) 2004-01-26 2005-08-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, television set, and method for manufacturing the same
CN100499170C (zh) 2004-01-26 2009-06-10 株式会社半导体能源研究所 半导体器件、电视机及其制造方法
JP4843274B2 (ja) * 2004-08-25 2011-12-21 東京エレクトロン株式会社 プラズマ成膜方法
US7235478B2 (en) * 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7425392B2 (en) * 2005-08-26 2008-09-16 Motorola, Inc. Lithographic template and method of formation and use
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US7959818B2 (en) * 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR100875662B1 (ko) * 2007-11-02 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US7888267B2 (en) 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
JP5260356B2 (ja) * 2009-03-05 2013-08-14 東京エレクトロン株式会社 基板処理方法
JP2012015343A (ja) * 2010-07-01 2012-01-19 Hitachi High-Technologies Corp プラズマエッチング方法
US8470187B2 (en) * 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8778794B1 (en) * 2012-12-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection wires of semiconductor devices
US9040371B2 (en) * 2013-08-07 2015-05-26 International Business Machines Corporation Integration of dense and variable pitch fin structures

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1131678A (ja) * 1997-07-10 1999-02-02 Sony Corp 半導体装置の製造方法
JP2004247216A (ja) * 2003-02-14 2004-09-02 Sumitomo Electric Ind Ltd 異方性導電シートの製造方法
JP2005090987A (ja) * 2003-09-12 2005-04-07 Matsushita Electric Ind Co Ltd 昇温脱離ガス分析装置および分析方法
JP2009182181A (ja) * 2008-01-31 2009-08-13 Toshiba Corp 半導体装置
JP2009185316A (ja) * 2008-02-05 2009-08-20 Utec:Kk プラズマcvd装置及びフッ化有機膜、シランカップリング基を有する有機膜
CN101521980A (zh) * 2008-02-26 2009-09-02 东京毅力科创株式会社 等离子体处理装置及等离子体处理方法
JP2010067979A (ja) * 2008-09-11 2010-03-25 Nikon Corp パターン形成方法及びデバイス製造方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107742672A (zh) * 2012-11-14 2018-02-27 应用材料公司 用于hdd位元图案化介质图案转印的图案强化
CN106067411A (zh) * 2015-04-20 2016-11-02 东京毅力科创株式会社 对被处理物进行处理的方法
CN106067411B (zh) * 2015-04-20 2018-01-16 东京毅力科创株式会社 对被处理物进行处理的方法
CN107808816A (zh) * 2015-04-20 2018-03-16 东京毅力科创株式会社 对被处理物进行处理的方法
CN107808816B (zh) * 2015-04-20 2020-05-19 东京毅力科创株式会社 对被处理物进行处理的方法
CN109216186A (zh) * 2017-07-06 2019-01-15 东京毅力科创株式会社 蚀刻方法和残渣去除方法
CN109216186B (zh) * 2017-07-06 2023-08-18 东京毅力科创株式会社 蚀刻方法和残渣去除方法
CN110221499A (zh) * 2019-05-27 2019-09-10 北京航空航天大学 低边缘场串扰的LCoS微显示器
CN110221499B (zh) * 2019-05-27 2021-01-01 北京航空航天大学 低边缘场串扰的LCoS微显示器
CN116390320A (zh) * 2023-05-30 2023-07-04 安徽农业大学 一种电子回旋共振放电装置及应用

Also Published As

Publication number Publication date
KR101425760B1 (ko) 2014-08-01
CN103081074B (zh) 2015-08-26
JP5637212B2 (ja) 2014-12-10
US20150325448A1 (en) 2015-11-12
US20130157468A1 (en) 2013-06-20
KR20130064104A (ko) 2013-06-17
US9117764B2 (en) 2015-08-25
JPWO2012026286A1 (ja) 2013-10-28
WO2012026286A1 (ja) 2012-03-01

Similar Documents

Publication Publication Date Title
CN103081074B (zh) 基板处理方法、图案形成方法、半导体元件的制造方法及半导体元件
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
TWI450317B (zh) 光罩圖案之形成方法及半導體裝置之製造方法
US7790334B2 (en) Method for photomask plasma etching using a protected mask
JP2006215552A5 (zh)
US20130344702A1 (en) Method of etching silicon nitride films
WO2014185351A1 (ja) プラズマエッチング方法及びプラズマエッチング装置
US20130267097A1 (en) Method and apparatus for forming features with plasma pre-etch treatment on photoresist
WO2013047464A1 (ja) エッチング方法及び装置
KR20110074455A (ko) 에칭 방법 및 에칭 처리 장치
JP6415636B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP4643916B2 (ja) 層間絶縁膜のドライエッチング方法及びその装置
KR102535484B1 (ko) 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법
JP7202489B2 (ja) プラズマ処理方法
WO2022219977A1 (ja) 基板処理方法
JP4713851B2 (ja) ドライエッチング装置及びドライエッチング方法
JP2023002466A (ja) プラズマ処理方法、プラズマ処理装置及びプラズマ処理システム

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150826

Termination date: 20200729