CN102934029B - 用于制备内含层的方法和材料以及由其制成的装置 - Google Patents

用于制备内含层的方法和材料以及由其制成的装置 Download PDF

Info

Publication number
CN102934029B
CN102934029B CN201180027968.8A CN201180027968A CN102934029B CN 102934029 B CN102934029 B CN 102934029B CN 201180027968 A CN201180027968 A CN 201180027968A CN 102934029 B CN102934029 B CN 102934029B
Authority
CN
China
Prior art keywords
undercoat
layer
integer
organic active
different
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180027968.8A
Other languages
English (en)
Other versions
CN102934029A (zh
Inventor
朴卿镐
N·S·拉杜
G·A·约翰森
W·J·德莱尼
A·费尼摩尔
D·D·莱克洛克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LG Corp
Original Assignee
EI Du Pont de Nemours and Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EI Du Pont de Nemours and Co filed Critical EI Du Pont de Nemours and Co
Publication of CN102934029A publication Critical patent/CN102934029A/zh
Application granted granted Critical
Publication of CN102934029B publication Critical patent/CN102934029B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/12Deposition of organic active material using liquid deposition, e.g. spin coating
    • H10K71/13Deposition of organic active material using liquid deposition, e.g. spin coating using printing techniques, e.g. ink-jet printing or screen printing
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/10Organic polymers or oligomers
    • H10K85/111Organic polymers or oligomers comprising aromatic, heteroaromatic, or aryl chains, e.g. polyaniline, polyphenylene or polyphenylene vinylene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/31Monomer units or repeat units incorporating structural elements in the main chain incorporating aromatic structural elements in the main chain
    • C08G2261/316Monomer units or repeat units incorporating structural elements in the main chain incorporating aromatic structural elements in the main chain bridged by heteroatoms, e.g. N, P, Si or B
    • C08G2261/3162Arylamines
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/90Applications
    • C08G2261/95Use in organic luminescent diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/10OLEDs or polymer light-emitting diodes [PLED]
    • H10K50/14Carrier transporting layers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electroluminescent Light Sources (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本发明提供了用于在第一层之上形成内含的第二层的方法,该方法包括以下步骤:形成具有第一表面能的第一层;用底涂材料处理所述第一层以形成底涂层;使底涂层以图案形式暴露于辐射下,获得暴露区域和未暴露区域;使所述底涂层显影以有效地从所述未暴露区域移除所述底涂层,获得具有底涂层图案的第一层,其中底涂层的图案具有高于所述第一表面能的第二表面能;以及通过在所述第一层上的底涂层的图案上液相沉积形成所述第二层。所述底涂材料具有式I或式I’:在式I或式I’中:Ar1和Ar2相同或不同并且为芳基;R1至R5在每次出现时独立地相同或不同并且为D、F、烷基、芳基、烷氧基、甲硅烷基或可交联的基团;R6为H、D或卤素;a至e独立地为0至4的整数;f为1或2;g为0、1或2;h为1或2;并且n为大于0的整数。

Description

用于制备内含层的方法和材料以及由其制成的装置
相关专利申请资料
本专利申请根据35U.S.C.§119(e),要求2010年6月17日提交的美国临时申请61/355,617的优先权,所述文献全文以引用方式并入本文。
发明背景
发明领域
一般来讲,本公开涉及用于制备电子装置的方法。它还涉及由该方法制备的装置。
相关领域说明
采用有机活性材料的电子装置存在于许多不同种类的电子装置中。在此类装置中,有机活性层夹置在两个电极之间。
一种类型的电子装置为有机发光二极管(OLED)。OLED在显示器领域有着良好的应用前景,这是因为其功率转换效率高并且加工成本低。此类显示器尤其适用于电池供电的便携式电子装置,包括移动电话、个人数字助理、掌上个人电脑、以及DVD播放机。这些应用要求显示器具有高信息量、全彩、以及快速的视频速率响应时间,并且能量消耗低。
全彩OLED的生产的当前研究定向于开发高性价比的、高通量的彩色像素制备方法。对于通过液体处理来制造单色显示器,旋涂法(参见例如DavidBraun和“AlanJ.Heeger,Appl.Phys.Letters”,58,1982(1991))得到了广泛应用。然而,全彩显示器的制造需要对用于制造单色显示器的工序进行某些修改。例如,为了制备具有全彩图像的显示器,要将每个显示像素分成三个次像素,每个次像素发射红、绿和蓝三原显示色之一。将全彩像素分成三个子像素导致需要对当前方法加以改进以防止液体着色材料(即油墨)铺展和颜色混合。
在文献中记述了若干用于提供油墨内含的方法。这些方法基于内含结构、表面张力不连续性、以及两者的组合。内含结构是防止油墨铺展的几何障碍物:像素阱、像素堤等。为了有效,这些结构必须大,与沉积材料的湿厚度相当。当将发光油墨印刷到这些结构中时,其润湿到所述结构的表面上,因此使靠近该结构的厚度均匀性降低。术语“发射”和“发光”在本文中互换使用。因此,必须将该结构移到发光“像素”区域以外,使得不均匀性在操作中不可见。由于显示器(尤其是高分辨率显示器)上的空间有限,因此这降低了可用的像素发光面积。当沉积连续的电荷注入层和传输层时,实际的内含结构一般对质量有负面影响。因此,所有这些层必须以印刷方式形成。
此外,当存在低表面张力材料的印刷区域或气相沉积区域时,会产生表面张力不连续性。这些低表面张力材料一般必须在往像素区域中印刷或涂覆第一有机活性层之前来施加。一般来讲,由于当涂覆连续的非发光层时使用这些处理会对质量有所影响,因此所有的层必须以印刷方式形成。
两种油墨内含技术的组合的实例为光致抗蚀剂岸结构(像素阱、像素槽)的CF4等离子体处理。一般来讲,所有的活性层必须在像素区域中印刷。
所有这些内含方法均存在阻碍连续涂布的缺点。一层或多层的连续涂布是可取的,因为其可获得更高的产率和更低的设备成本。因此,需要改进用于形成电子装置的方法。
发明概述
本发明提供一种用于在第一层之上形成内含的第二层的方法,所述方法包括以下步骤:
形成具有第一表面能的第一层;
用底涂材料处理第一层以形成底涂层;
使底涂层以图案形式暴露于辐射下,获得暴露区域和未暴露区域;
使所述底涂层显影以有效地从所述未暴露区域移除所述底涂层,获得具有底涂层图案的第一层,其中底涂层图案具有高于所述第一表面能的第二表面能;以及
通过在所述第一层上的底涂层的图案上液相沉积形成所述第二层;
其中所述底涂材料具有式I或式I’:
其中:
Ar1和Ar2相同或不同并且为芳基;
R1至R5在每次出现时独立地相同或不同并且选自D、F、烷基、芳基、烷氧基、甲硅烷基和可交联的基团;
R6在每次出现时相同或不同并且选自H、D和卤素;
a至e独立地为0至4的整数;
f为1或2;
g为0、1或2;
h为1或2;并且
n为大于0的整数。
本发明还提供了用于制备包含电极的有机电子装置的方法,所述有电极具有在所述电极之上放置的第一有机活性层和第二有机活性层,所述方法包括:
在所述电极之上形成具有第一表面能的第一有机活性层;
用底涂材料处理第一有机活性层以形成底涂层;
使底涂层以图案形式暴露于辐射下,获得暴露区域和未暴露区域;
使所述底涂层显影以有效地从或所述未暴露区域移除底涂层,获得具有底涂层图案的第一活性有机层,其中底涂层的图案具有高于所述第一表面能的第二表面能;以及
通过在所述第一有机活性层上的底涂层的图案上液相沉积形成所述第二有机活性层;
其中所述底涂材料具有式I或式I’:
其中:
Ar1和Ar2相同或不同并且为芳基;
R1至R5在每次出现时独立地相同或不同并且选自D、F、烷基、芳基、烷氧基、甲硅烷基和可交联的基团;
R6在每次出现时相同或不同并且选自H、D和卤素;
a至e独立地为0至4的整数;
f为1或2;
g为0、1或2;
h为1或2;并且
n为大于0的整数。
还提供了有机电子装置,所述有机电子装置包括设置在电极之上的第一有机活性层和第二有机活性层,并且还包括在所述第一和第二有机活性层之间的图案化底涂层,其中所述第二有机活性层仅存在于所述底涂层存在的区域中,并且其中底涂层包含具有式I或式I’的材料:
其中:
Ar1和Ar2相同或不同并且为芳基;
R1至R5在每次出现时独立地相同或不同并且选自D、烷基和甲硅烷基;
R6为H或D;
a至e独立地为0至4的整数;
f为1或2;
g为0、1或2;
h为1或2;并且
n为大于0的整数。
以上综述和以下发明详述仅出于示例性和说明性目的而不是对本发明进行限制,本发明受所附权利要求的限定。
附图简述
附图中示出了实施方案,以增进对本文所述概念的理解。
图1示出了接触角。
图2示出了有机电子装置。
图3包括具有底涂层的有机电子装置的部分的图示。
技术人员理解,附图中的物体是以简洁明了的方式示出的并且不一定按比例绘制。例如,图中一些物体的尺寸相对于其他物体可能有所放大,以便于更好地理解实施方案。
发明详述
本发明提供一种用于在第一层之上形成内含的第二层的方法,所述方法包括以下步骤:
形成具有第一表面能的第一层;
用底涂材料处理第一层以形成底涂层;
使底涂层以图案形式暴露于辐射下,获得暴露区域和未暴露区域;
使所述底涂层显影以有效地从所述未暴露区域移除所述底涂层,获得具有底涂层图案的第一层,其中底涂层图案具有高于所述第一表面能的第二表面能;以及
通过在所述第一层上的底涂层的图案上液相沉积形成所述第二层;
其中所述底涂材料具有式I或式I’:
其中:
Ar1和Ar2相同或不同并且为芳基;
R1至R5在每次出现时独立地相同或不同并且选自D、F、烷基、芳基、烷氧基、甲硅烷基和可交联的基团;
R6在每次出现时相同或不同并且选自H、D和卤素;
a至e独立地为0至4的整数;
f为1或2;
g为0、1或2;
h为1或2;并且
n为大于0的整数。
上文已描述了许多方面和实施方案并仅为示例性的而非限制性的。在阅读完本说明书后,技术人员应认识到,在不脱离本发明范围的情况下,其他方面和实施方案也是可能的。
根据以下发明详述和权利要求,任何一个或多个实施方案的其他特征和有益效果将显而易见。发明详述首先提出了“术语的定义和说明”,接着是“方法”、“底涂材料”“有机电子装置”,最后为“实施例”。
1.术语的定义和说明
在提出下述实施方案详情之前,先定义或阐明一些术语。
当涉及层或材料时,术语“活性”旨在表示表现出电子特性或电辐射特性的层或材料。在电子装置中,活性材料以电子方式有利于装置的运行。活性材料的实例包括但不限于传导、注入、传输、或阻挡电荷(其中电荷可为电子或空穴)的材料,以及可发出辐射或在接受辐射时表现出电子-空穴对浓度变化的材料。非活性材料的实例包括但不限于平面化材料、绝缘材料、以及环境阻挡材料。
当涉及层时,术语“内含”旨在表示当所述层被印刷时,它不会明显扩散超出其所沉积的区域之外,然而在不被内含的情况下,自然趋势会超出所述沉积区域。具有“化学内含”,所述层由表面能效应而被内含。具有“物理内含”,所述层由物理阻挡结构而被内含。层可由化学内含和物理内含的组合而被内含。
术语“显影”和“显像”是指暴露于辐射下的材料区域和未暴露于辐射下的区域之间的物理差异,以及暴露区域或未暴露区域的移除。
术语“电极”旨在表示在电子元件内构造成传输载体的构件或结构。例如,电极可为阳极、阴极、电容电极、栅电极等。电极可以包括晶体管、电容器、电阻器、电感器、二极管、电子元件、电源、或它们的任何组合的一部分。
当涉及有机化合物时,术语“氟化的”旨在表示化合物中一个或多个与碳键合的氢原子被氟置换。该术语涵盖部分氟化和全氟化材料。
术语“层”与术语“薄膜”可互换使用并且是指覆盖所需区域的涂层。该术语不受尺寸的限制。所述区域可以大如整个装置,也可以小如例如实际可视显示器的特定功能区,或小如单个子像素。层和膜可由任何常规的沉积技术形成加工,包括气相沉积、液相沉积(连续和不连续技术)、以及热转移。层可为高度图案化的,或可为整体并且是未图案化的。
术语“液体组合物”旨在表示材料溶于其中形成溶液的液体介质、材料分散于其中形成分散体的液体介质、或材料悬浮于其中形成悬浮液或乳液的液体介质。
术语“液体介质”旨在表示液体材料,其包括纯液体、液体组合物、溶液、分散体、悬浮液和乳液。无论是存在一种还是多种溶剂,均称为液体介质。
术语“有机电子装置”旨在表示包含一个或多个有机半导体层或材料的装置。有机电子装置包括但不限于:(1)将电能转换成辐射的装置(例如发光二极管、发光二极管显示器、二极管激光器、或发光面板);(2)使用电子方法探测信号的装置(例如光电探测器、光电导管、光敏电阻器、光控开关、光电晶体管、光电管、红外(“IR”)探测器、或生物传感器);(3)将辐射转换成电能的装置(例如光伏装置或太阳能电池);(4)包括一个或多个电子元件,电子元件继而包括一个或多个有机半导体层的装置(例如晶体管或二极管);或(1)至(4)项中所述装置的任意组合。
术语“辐射”和“放射”旨在表示以任何形式增加能量,包括任何形式的热量、整个电磁光谱、或亚原子粒子,而不论此类辐射的形式是光、波、还是粒子。
术语“表面能”是指由材料产生单位面积表面所需的能量。表面能的特性在于具有给定表面能的液体材料不会润湿具有足够低表面能的表面。具有低表面能的层比具有较高表面能的层更难以润湿。
如本文所用,术语“在之上”不一定表示层、构件或结构是紧邻或接触另一层、构件或结构。可能存在附加的居间层、构件或结构。
如本文所用,术语“包含”、“包括”、“具有”或它们的任何其它变型均旨在涵盖非排他性的包括。例如,包括要素列表的工艺、方法、制品或设备不必仅限于那些要素,而是可以包括未明确列出的或该工艺、方法、制品或设备所固有的其它要素。此外,除非另有相反的说明,“或”是指包含性的或而不是指排他性的或。例如,以下任何一个均表示满足条件A或B:A是真的(或存在的)且B是假的(或不存在的)、A是假的(或不存在的)且B是真的(或存在的)、以及A和B都是真的(或存在的)。
同样,使用“一个”或“一种”来描述本文所描述的要素和组分。这样做仅仅是为了方便并且对本发明的范围提供一般性的意义。该描述应理解为包括一个或至少一个,并且除非明显地另有所指,单数也包括复数。
在本说明书中,除非在使用情形下另外明确指明或相反指明,其中本发明主题的实施方案被论述或描述为包含、包括、含有、具有、涵盖或包容一些特征或要素,除了明确论述或描述的那些以外的一种或多种特征或要素也可存在于实施方案中。所公开的本发明主题的一个可供选择的实施方案被描述为基本上由某些特征或要素组成,则其中将会显著地改变操作原理或实施方案显著特性的实施方案特征或要素不存在于其中。所述的本发明主题的另一个可供选择的实施方案被描述为基本上由某些特征或要素组成,在所述实施方案或其非本质变型中仅存在所具体论述或描述的特征或要素。
与元素周期表内的列相对应的族序号使用如在“CRCHandbookofChemistryandPhysics”,第81版(2000-2001)中所述的“新命名法”公约。
除非另外定义,本文所用的所有技术和科学术语的含义均与本发明所属领域的普通技术人员通常理解的一样。尽管与本文所述的那些方法和材料的类似者或等同者均可用于本发明实施方案的实践或检验,但合适的方法和材料是如下文所述的那些。除非引用具体段落,本文提及的所有出版物、专利申请、专利以及其它参考文献全文均以引用方式并入本文。如发生矛盾,以本说明书及其包括的定义为准。此外,材料、方法和实施例仅是例证性的并且不旨在进行限制。
本文未描述的有关特定材料、加工方法和电路的许多细节均是常规的并且可以在有机发光二极管显示器、光电探测器、光伏和半导体构件领域的教科书和其他来源中找到。
2.方法
在本文提供的方法中,形成第一层,在所述第一层之上形成底涂层,使所述底涂层以图案形式暴露于辐射下,使所述底涂层显影以有效地从未暴露区域移除所述底涂层,获得在底涂层上具有图案化底涂层的第一层。术语“有效去除”和“可有效去除”是指未暴露区域中的底涂层基本上被完全去除。也可部分地去除暴露的区域中的底涂层,使得底涂层余留的图案比原底涂层薄。底涂层图案具有高于第一层表面能的表面能。通过在第一层的底涂层图案之上和在第一层的底涂层图案上液相沉积形成第二层。
测定相对表面能的一种方法是将指定液体在第一有机层上的接触角与同一液体暴露显影后在底涂层(下文称为“显影底涂层”)上的接触角进行比较。如本文所用,术语“接触角”旨在表示图1中所示的角度Φ。对于液体介质的小滴,角度Φ通过表面平面与从小滴外边缘到表面的直线两者的相交来限定。此外,施用后,使所述液滴在所述表面上达到平衡位置后,测量角度Φ,即“静态接触角”。所述接触角随表面能的降低而增加。有许多制造商都在生产能够测量接触角的设备。
在一些实施方案中,第一层与苯甲醚的接触角大于40℃;在一些实施方案中,大于50°;在一些实施方案中,大于60°;在一些实施方案中,大于70°。在一些实施方案中,显影的底涂层与苯甲醚的接触角小于30°;在一些实施方案中,小于20°;在一些实施方案中,小于10°。在一些实施方案中,指定溶剂与显影底涂层的接触角比与第一层的接触角小至少20°。在一些实施方案中,指定溶剂与显影底涂层的接触角比与第一层的接触角小至少30°。在一些实施方案中,指定溶剂与显影底涂层的接触角比与第一层的接触角小至少40°。
在一个实施方案中,所述第一层是沉积在基板上的有机层。第一层可以经过图案化处理,或未经过图案化处理。在一个实施方案中,第一层为电子装置中的有机活性层。在一个实施方案中,所述第一层包含氟化材料。
可以通过任何沉积技术形成第一层,这些技术包括气相沉积技术、液相沉积技术、以及热传递技术。在一个实施方案中,通过液相沉积技术沉积第一层,然后干燥。在这种情况下,将第一材料溶于或分散于液体介质中。液相沉积方法可以为连续的或非连续的。连续式液相沉积技术包括但不限于旋涂、辊涂、帘式涂布、浸涂、槽模涂布、喷涂、以及连续喷涂。非连续式液相沉积技术包括但不限于喷墨印刷、照相凹版印刷、柔性版印刷以及丝网印刷。在一个实施方案中,通过连续式液相沉积技术来沉积第一层。干燥步骤可在室温下或高温下进行,只要所述第一材料和任何底层材料未被破坏。
然后用底涂层处理所述第一层。这时,将底涂材料涂敷在所述第一层之上并且与所述第一层直接接触,以形成底涂层。底涂层包含组合物,所述组合物当暴露于辐射时反应形成材料。与未暴露的底涂材料相比,所述材料更难从下方第一层上去除。该改变必须足以使暴露和未暴露区域能够物理区分并且显影。
在一个实施方案中,底涂材料为可聚合的或可交联的。
在一个实施方案中,当暴露于辐射下时,底涂材料与下方区域反应。此反应的确切机理将取决于所用的材料。暴露于辐射后,通过适宜的显影处理,有效去除未暴露区域中的底涂层。在一些实施方案中,仅去除未暴露区域中的底涂层。在一些实施方案中,还部分去除暴露区域中的底涂层,在那些区域中保留一较薄的层。在一些实施方案中,暴露区域中余留的底涂层厚度小于在一些实施方案中,暴露区域中余留的底涂层在厚度上基本上为单层。
在一些实施方案中,底涂材料是氘代的。术语“氘代”旨在表示至少一个H已被D置换。术语“氘代类似物”是指其中一个或多个可获得的氢已被氘置换的化合物或基团的结构类似物。在氘代化合物或氘代类似物中,氘的含量为自然丰度的至少100倍。在一些实施方案中,底涂材料是至少10%氘代的。“氘代%”或“氘化%”是指氘核与质子加氘核的总和的比率,以百分比表示。在一些实施方案中,底涂材料是至少20%氘代的;在一些实施方案中,是至少30%氘代的;在一些实施方案中,是至少40%氘代的;在一些实施方案中,是至少50%氘代的;在一些实施方案中,是至少60%氘代的;在一些实施方案中,是至少70%氘代的;在一些实施方案中,是至少80%氘代的;在一些实施方案中,是至少90%氘代的;在一些实施方案中,是100%氘代的。
氘代底涂材料较不易因空穴、电子、电子空穴对或它们组合的影响而降解。氘代可潜在地抑制底涂层在装置操作期间降解,这继而可改善装置寿命。一般来讲,该改善不需要牺牲其它装置性质即可实现。此外,氘代化合物在很多情况下具有比非氘代类似物更大的空气容限。这可导致材料的制备和纯化具有更大的加工容受度并且致使采用所述材料形成电子装置具有更大的加工容受度。
可由任何已知的沉积方法来施加底涂层。在一个实施方案中,施加底涂层,而无需将其加入溶剂中。在一个实施方案中,经由气相沉积来施加底涂层。
在一个实施方案中,经由冷凝方法施加底涂层。如果底涂层通过蒸汽相冷凝来施加,并且蒸汽冷凝期间表面层温度过高,则底涂层可能迁移到有机基质表面的孔腔或自由体积中。在一些实施方案中,保持有机基质温度低于基质材料的玻璃化转变温度或熔融温度。可通过任何已知的技术来维持该温度,例如将第一层置于通过流动液体或气体进行冷却的表面上。
在一个实施方案中,在冷凝步骤之前将底涂层施加到临时载体上,以形成均匀的底涂层涂层。这可以通过任何沉积方法来实现,这些方法包括液相沉积、气相沉积和热传递。在一个实施方案中,经由连续液相沉积技术将底涂层沉积在临时载体上。沉积底涂层的液体介质选择将取决于底涂层自身的实际性质。在一个实施方案中,该材料通过旋涂法进行沉积。然后,将涂覆的临时载体用作中间材料源以加热形成用于冷凝步骤的蒸汽。
可使用连续或间歇方法,实现底涂层的施加。例如,在间歇方法中,用底涂层同时涂布一个或多个装置,然后同时暴露于辐射源。在连续方法中,在皮带或其它输送装置上运输的装置将通过其中用底涂层将它们连续涂布的工位,然后继而通过其中使它们连续暴露于辐射源的工位。所述方法的一部分可以是连续的,而所述方法的其它部分可以是间歇的。
在一个实施方案中,由第二液体组合物来沉积底涂层。如上所述,液相沉积方法可以是连续或不连续的。在一个实施方案中,采用连续液相沉积方法沉积底涂液体组合物。沉积底涂层的液体介质的选择将取决于底涂材料自身的实际性质。
形成底涂层后,将其暴露于辐射。如上所述,所用的辐射类型将取决于底涂层敏感性。暴露是图案形式的。如本文所用,术语“图案形式”是指仅所选部分的材料或层暴露。可以使用任何已知的成像技术来实现以图案形式暴露。在一个实施方案中,通过掩模暴露来获得图案。在一个实施方案中,通过仅使所选部分暴露于光栅激光器,来获得图案。暴露时间可在数秒至数分钟范围内,这取决于所用底涂层的特定化学性质。如果使用激光器,则每个单独区域的暴露时间要短得多,具体取决于激光器的功率。暴露步骤既可在空气中进行,也可在惰性气氛中进行,具体取决于材料的敏感性。
在一个实施方案中,辐射选自紫外线辐射(10-390nm)、可见辐射(390-770nm)、红外线辐射(770-106nm)、以及它们的组合,包括同步和依序处理。在一个实施方案中,辐射选自可见辐射和紫外线辐射。在一个实施方案中,辐射具有300至450nm范围内的波长。在一个实施方案中,辐射为深UV(200-300nm)。在另一个实施方案中,紫外线辐射具有介于300和400nm之间的波长。在另一个实施方案中,辐射具有400至450nm范围内的波长。在一个实施方案中,辐射为热辐射。在一个实施方案中,通过加热来完成暴露于辐射。加热步骤的温度和持续时间使得底涂层的至少一种物理特性发生改变,而不会损坏发光区域的任何下层。在一个实施方案中,加热温度低于250℃。在一个实施方案中,加热温度低于150℃。
以图案形式暴露于辐射后,将底涂层显影。可通过任何已知的技术实现显影。此类技术已广泛用于光致抗蚀剂和印刷领域。显影技术实例包括但不限于施加热(蒸发)、用液体介质处理(洗涤)、用吸收材料处理(吸墨)、用粘性材料处理等。显影步骤导致有效去除未暴露区域中的底涂层。于是底涂层余留在暴露的区域内。也可部分地去除暴露区域中的底涂层,但必须充分余留以使得暴露和未暴露区域之间存在可润湿性差异。
在一个实施方案中,使底涂层暴露于辐射下,导致底涂层在溶剂中溶解性或可分散性的变化。在该情况下,可通过湿法显影处理实现显影。处理通常涉及用溶剂洗涤,所述溶剂溶解、分散或移除一种类型的区域。在一个实施方案中,以图案形式暴露于辐射,导致底涂层暴露区域的不溶性,并且用溶解处理获得去除底涂层的未暴露区域。
在一个实施方案中,使底涂层暴露于辐射导致反应,所述反应改变暴露区域中底涂层的挥发性。在该情况下,可通过热显影处理实现显影。处理涉及加热至在挥发性较高物质的挥发或升华温度以上,并且在所述物质热反应温度以下的温度。例如,对于可聚合单体,可将材料的温度加热到升华温度之上以及热聚合反应温度之下。应当理解,热反应温度接近或低于挥发温度的底涂材料可能无法以这样的方式显影。
在一个实施方案中,使底涂层暴露于辐射下,导致材料的熔融、软化或流动温度发生变化。在该情况下,可通过干法显影处理实现显影。干法显影处理可包括使元件的最外表面接触吸收剂表面,以将更软的部分吸收或芯吸掉。只要不进一步影响余留区域的特性,可在高温下实施干法显影。
显影步骤导致留有底涂层的区域,和其中下方第一层未被覆盖的区域。在一些实施方案中,图案化底涂层和未覆盖区域与指定溶剂间的接触角差异为至少20°;在一些实施方案中,至少30°;在一些实施方案中,至少40°。
然后在第一层底涂材料显影图案之上,通过液相沉积施加第二层。在一个实施方案中,所述第二层为电子装置中的第二有机活性层。
可以通过任何液相沉积技术来施加所述第二层。将包含溶解或分散于液体介质中的第二材料的液体组合物施用在显影底涂层图案之上,并且干燥形成第二层。选择表面能大于第一层表面能,但是与显影底涂层表面能几乎相等或更小的液体组合物。因此,所述液体组合物将润湿显影底涂层,但是在所述底涂层已被移除的区域中,仍被第一层所排斥。所述液体可铺展在经处理的第一层区域上,但是仍会脱湿,并且被包含于显影底涂层的图案内。在一些实施方案中,如上所述经由连续液相沉积技术施加所述第二层。
在本文提供的方法的一个实施方案中,第一层和第二层为有机活性层。在第一电极之上形成第一有机活性层,在所述第一有机活性层之上形成底涂层,暴露于辐射下并且显影,形成显影底图层的图案,并且在所述第一有机活性层上的显影底涂层之上形成所述第二有机活性层,使得它仅存在于底涂层之上,并且具有与所述底涂层相同的图案。
在一个实施方案中,通过第一液体组合物的液相沉积形成第一有机活性层,所述液体组合物包含第一有机活性材料和第一液体介质。在第一电极层之上沉积液体组合物,然后使其干燥以形成层。在一个实施方案中,通过连续式液相沉积方法形成第一有机活性层。此类方法可获得更高的产率和更低的设备成本。
在一个实施方案中,通过第二液体组合物的液相沉积形成底涂,所述第二液体组合物包含第二液体介质中的底涂材料。所述第二液体介质可与第一液体介质相同或不同,只要它不损坏第一层。如上所述,液相沉积方法可以是连续或不连续的。在一个实施方案中,采用连续液相沉积方法沉积底涂液体组合物。
在一个实施方案中,通过第三液体组合物的液相沉积形成第二有机活性层,所述第三液体组合物包含第二有机活性材料和第三液体介质。所述第三液体介质可与第一和第二液体介质相同或不同,只要它不损坏第一层或显影底涂层。在一些实施方案中,所述第二有机活性层通过印刷形成。
在一些实施方案中,将第三层施加在第二层之上,使得它仅存在于第二层之上,并且具有与所述第二层相同的图案。可由上述用于第二层的任何方法施加第三层。在一些实施方案中,第三层由液相沉积技术施加。在一些实施方案中,第三有机活性层由印刷方法形成,所述印刷方法选自喷墨印刷和连续喷嘴印刷。
在一些实施方案中,所述底涂材料与所述第二有机活性材料相同。
显影底涂层的厚度可取决于所述材料的最终用途。在一些实施方案中,显影底涂层厚度小于在一些实施方案中,所述厚度在的范围内;在一些实施方案中,在的范围内。
3.底涂材料
所述底涂材料具有式I或式I’:
其中:
Ar1和Ar2相同或不同并且为芳基;
R1至R5在每次出现时独立地相同或不同并且选自D、F、烷基、芳基、烷氧基、甲硅烷基和可交联的基团;
R6在每次出现时相同或不同并且选自H、D和卤素;
a至e独立地为0至4的整数;
f为1或2;
g为0、1或2;
h为1或2;并且
n为大于0的整数。
所述化合物可为具有n=1的小分子、低聚物或聚合物。在一些实施方案中,化合物为具有Mn>20,000的聚合物;在一些实施方案中,Mn>50,000。
在一些实施方案中,所述化合物具有式I或式I’为氘代的。术语“氘代”旨在表示至少一个H已被D置换。术语“氘代类似物”是指其中一个或多个可获得的氢已被氘置换的化合物或基团的结构类似物。在氘代化合物或氘代类似物中,氘的含量为自然丰度的至少100倍。在一些实施方案中,所述化合物是至少10%氘代的。“氘代%”或“氘化%”是指氘核与质子加氘核的总和的比率,以百分比表示。在一些实施方案中,所述化合物是至少20%氘代的;在一些实施方案中,是至少30%氘代的;在一些实施方案中,是至少40%氘代的;在一些实施方案中,是至少50%氘代的;在一些实施方案中,是至少60%氘代的;在一些实施方案中,是至少70%氘代的;在一些实施方案中,是至少80%氘代的;在一些实施方案中,是至少90%氘代的;在一些实施方案中,是100%氘代的。
氘代材料较不易因空穴、电子、电子空穴对或它们组合的影响而降解。氘代可潜在地抑制化合物在装置操作期间降解,这继而可改善装置寿命。一般来讲,该改善不需要牺牲其它装置性质即可实现。此外,氘代化合物在很多情况下具有比非氘代类似物更大的空气容限。这可导致材料的制备和纯化具有更大的加工容受度,并且致使采用所述材料形成电子装置具有更大的加工容受度。
在一些实施方案中,式I或式I’的化合物具有式Ia:
其中R1至R6、Ar1、Ar2、a-h和n如上文对于式I所定义。
在式I或式I’的一些实施方案中,Ar1和Ar2为不具有芳基稠环的基团。在一些实施方案中,Ar1和Ar2具有式a
式a
其中:
R7在每次出现时相同或不同并且选自D、烷基、烷氧基、硅氧烷、和甲硅烷基;
i在每次出现时相同或不同,并且为0-4的整数;
j为0-5的整数;以及
m为1至5的整数。
在一些实施方案中,Ar1和Ar2具有式b
式b
其中:
R7在每次出现时相同或不同并且选自D、烷基、烷氧基、硅氧烷、和甲硅烷基;
i在每次出现时相同或不同并且为0-4的整数;
j为0-5的整数;以及
m为1至5的整数。
在式a和b的一些实施方案中,i和j中的至少一个不是零。在一些实施方案中,m=1-3。
在一些实施方案中,Ar1和Ar2选自苯基、联苯、三联苯基、它们的氘代衍生物以及它们具有一个或多个选自烷基、烷氧基、甲硅烷基的取代基和具有交联的基团的取代基的衍生物。
在一些实施方案中,R1至R5为D或C1-10烷基。在一些实施方案中,所述烷基为氘代的。
在一些实施方案中,a=e=0。在一些实施方案中,a=e=4,并且R1和R5为D。
在一些实施方案中,b>0并且至少一个R2为烷基。在一些实施方案中,所述烷基为氘代的。在一些实施方案中,b=4,一个R2为烷基并且残基为D。
在一些实施方案中,c>0并且至少一个R3为烷基。在一些实施方案中,所述烷基为氘代的。在一些实施方案中,c=4,一个R3为烷基并且残基为D。在一些实施方案中,c=4,两个R3为烷基并且两个R3为D。
在一些实施方案中,d>0并且至少一个R4为烷基。在一些实施方案中,所述烷基为氘代的。在一些实施方案中,d=4,一个R4为烷基并且残基为D。
在一些实施方案中,f=h=2。
在一些实施方案中,g=1。
在一些实施方案中,具有式I或式I’的化合物具有高三重态能量。术语“三重态能量”是指材料的最低激发三重态,以eV计。三重态能量以正数被报道,并代表三重态相对于基态通常为单重态的能量。发冷光的有机金属材料由具有混合的单重态和三重态特性的激发态发射,并且在本文被称作“磷光”。当有机金属磷光材料被用于发光层时,具有低三重态能量的物质的存在导致>2.0eV能量的磷光射线的淬灭。这导致效率降低。当所述材料在电致发光层中,如基质材料,或在所述装置的其它层中时,会发生淬灭。在一些实施方案中,具有式I或式I’的材料具有三重态能级大于2.1eV;在一些实施方案中,大于2.2eV;在一些实施方案中,大于2.45eV;在一些实施方案中,大于2.6eV。三重态能量可由演绎法计算出来,或可采用脉冲射解或低温发光光谱研究来测定。
具有式I或式I’的化合物的一些非限制性实例包括下文化合物A至EE。
化合物A
化合物B
化合物C
化合物D
化合物E
化合物F
化合物G
化合物H
化合物I
化合物J
化合物K
化合物L
化合物M
化合物N
化合物O
化合物P
化合物Q
化合物R
化合物S
化合物T
化合物U
化合物V
化合物W
化合物X
化合物Y
化合物Z
化合物AA
化合物BB
化合物CC
化合物DD
化合物EE
可使用将形成C-C或C-N键的任何技术来制得新型化合物。已知多种此类技术,如Suzuki、Yamamoto、Stille、以及Pd或Ni催化的C-N偶联。通过使用氘代前体材料以类似的方式,或更通常通过在路易斯酸H/D交换催化剂如三氯化铝或二氯化乙基铝的存在下,用氘代溶剂如d6-苯来处理未氘代化合物,制得氘代化合物。示例性制备方法在实施例中给出。
可以用溶液处理技术使该化合物形成层。术语“层”与术语“薄膜”可互换使用并且是指覆盖所需区域的涂层。该术语不受尺寸的限制。所述区域可以大如整个装置,也可以小如例如实际可视显示器的特定功能区,或小如单个子像素。层和膜可由任何常规的沉积技术形成加工,包括气相沉积、液相沉积(连续和不连续技术)、以及热转移。连续沉积技术包括但不限于旋涂、凹版涂布、帘式涂布、浸涂、槽模涂布、喷涂、以及连续喷涂。不连续沉积技术包括但不限于喷墨印刷、凹版印刷、以及丝网印刷。
4.有机电子装置
将进一步描述本方法在电子装置方面的应用,尽管该方法并不局限于此类应用。
图2为示例性电子装置有机发光二极管(OLED)显示器,其包括位于两个电接触层之间的至少两个有机活性层。电子装置100包括一个或多个层120和130,以利于空穴从阳极层110注入发射层140。一般来讲,当存在两个层时,邻近阳极的层120被称为空穴注入层,有时称为缓冲层。邻近发射层的层130被称为空穴传输层。任选的电子传输层150位于发射层140和阴极层160之间。有机层120至150单独称为以及统称为装置有机活性层。根据装置100的应用,发射层140可为通过外加电压而激活的发光层(例如,在发光二极管或发光电化学电池中),即响应辐射能并且在施加偏压或不施加偏压的情况下生成信号的材料层(例如在光电探测器中)。所述装置并不限于系统、驱动方法、以及应用模式。该图示中未示出底涂层。
对于多色装置,发射层140由至少三种不同颜色的不同区域构成。不同颜色的区域可通过印刷独立的着色区域形成。作为另外一种选择,这可以通过形成整体层,并用不同颜色的发光材料掺杂所述层的不同区域来实现。此类方法在例如已公布的美国专利申请2004-0094768中有所描述。
在一些实施方案中,本文所述的新方法可用于装置中任何连续的有机层对,其中要使第二层内含在特定区域中。制造所述包含电极的有机电子装置的方法,所述电极具有在所述电极之上放置的第一有机活性层和第二有机活性层,所述方法包括:
在所述电极之上形成具有第一表面能的第一有机活性层;
用底涂材料处理第一有机活性层以形成底涂层;
使底涂层以图案形式暴露于辐射下,获得暴露区域和未暴露区域;
使所述底涂层显影以从所述未暴露区域移除底涂层,获得具有底涂层图案的第一活性有机层,其中底涂层的图案具有高于所述第一表面能的第二表面能;以及
通过在所述第一有机活性层上的底涂层的图案上液相沉积形成所述第二有机活性层;
其中所述底涂材料具有如上所述的式I或式I’。
在新方法的一个实施方案中,第二有机活性层为发射层140,并且第一有机活性层为在紧接层140之前施加的装置层。在许多情况下,从阳极层开始构造装置。当存在空穴传输层130时,在施加发射层140之前,将底涂层施加至层130并且显影。当层130不存在时,将底涂层施加到层120上。在以阴极开始构造装置的情况下,可在施加发射层140之前,将底涂层施加到电子传输层150上。
在新方法的一个实施方案中,第一有机活性层为空穴注入层120,并且第二有机活性层为空穴传输层130。在其中以阳极层开始构造装置的实施方案中,在施加到空穴传输层130上之前,将底涂层施加到空穴注入层120上并且显影。在一个实施方案中,所述空穴注入层包含氟化材料。在一个实施方案中,所述空穴注入层包含掺入有氟化酸聚合物的导电聚合物。在一个实施方案中,所述空穴注入层基本上由掺入有氟化酸聚合物的导电聚合物组成。在一些实施方案中,所述底涂层基本上由空穴传输材料组成。在一个实施方案中,所述底涂层基本上由与空穴传输层相同的空穴传输材料组成。
装置中的层可由已知可用于此类层的任何材料制成。装置可包括载体或基板(未示出),其能够邻近阳极层110或阴极层160。最常见的是,载体邻近阳极层110。载体可以是柔性的或刚性的、有机的或无机的。一般来讲,将玻璃或柔性有机薄膜用作载体。阳极层110为与阴极层160相比对注入空穴更有效的电极。阳极可以包含包括金属、混合金属、合金、金属氧化物或混合氧化物的材料。适宜的材料包括第2族元素(即Be、Mg、Ca、Sr、Ba)、第11族元素、第4、5和6族元素、以及第8-10族过渡元素的混合氧化物。如果阳极层110要为透光的,则可使用第12、13和14族元素的混合氧化物,例如氧化铟锡。如本文所用,短语“混合氧化物”是指具有选自第2族元素或第12、13或14族元素的两种或更多种不同阳离子的氧化物。用于阳极层110的材料的一些非限制性具体实例包括但不限于氧化铟锡(“ITO”)、氧化铝锡、氧化铝锌、金、银、铜、以及镍。阳极还可以包含有机材料,例如聚苯胺、聚噻吩、或聚吡咯。
可以通过化学或物理气相沉积方法或旋铸法形成阳极层110。化学气相沉积可包括等离子体增强化学气相沉积(“PECVD”)或金属有机化学气相沉积(“MOCVD”)。物理气相沉积可包括所有形式的溅射(包括离子束溅射),以及电子束蒸发和电阻蒸发。物理气相沉积的具体形式包括射频磁控溅射和电感耦合等离子体物理气相沉积(“IMP-PVD”)。这些沉积技术在半导体制造领域是熟知的。
通常在平版印刷操作期间将阳极层110图案化。图案可以根据需要变化。层可通过例如以下方法形成图案:在施加第一电接触层材料之前,将图案化掩模或抗蚀剂定位于第一柔性复合材料阻挡结构上。作为另外一种选择,可以整体层(也称为席状沉积)的形式施加层,随后使用例如图案化抗蚀剂层和湿化学或干蚀刻技术将其图案化。还可以使用本领域熟知的其他图案化方法。当将电子装置定位在一个阵列内时,通常将阳极层110形成基本平行的条带,这些条带具有以基本上相同的方向延伸的长度。
所述空穴注入层120起到促进空穴注入发射层中,并且平坦化阳极表面以防止装置中短路的作用。空穴注入材料可为聚合物、低聚物、或小分子,并且可为溶液、分散体、悬浮液、乳液、胶态混合物、或其它组合物的形式。
空穴注入层可由聚合物材料所形成,如聚苯胺(PANI)或聚乙烯二氧噻吩(PEDOT),所述聚合材料通常掺有质子酸。质子酸可以是例如聚(苯乙烯磺酸)、聚(2-丙烯酰胺-2-甲基-1-丙磺酸)等。空穴注入层120可以包含电荷转移化合物等,如铜酞菁和四硫富瓦烯-四氰基苯醌二甲烷体系(TTF-TCNQ)。在一个实施方案中,空穴注入层120由导电聚合物和成胶体聚合酸的分散体制成。此类物质已描述于例如公布的美国专利申请US2004/0102577、US2004/0127637、US2005/0205860和公布的PCT专利申请WO2009/018009中。
所述空穴注入层120可通过任何沉积技术来施加。在一个实施方案中,所述空穴注入层通过如上所述的溶液沉积方法来施加。在一个实施方案中,所述空穴注入层通过连续溶液沉积方法施加。
层130包含空穴传输材料。用于空穴传输层的空穴传输材料的实例已概述于例如1996年Y.Wang的“Kirk-OthmerEncyclopediaofChemicalTechnology”第四版,第18卷,第837-860页中。空穴传输分子和空穴传输聚合物均可使用。常用的空穴传输分子包括但不仅限于:4,4’,4”-三(N,N-二苯基-氨基)-三苯胺(TDATA);4,4’,4”-三(N-3-甲基苯基-N-苯基-氨基)-三苯胺(MTDATA);N,N'-二苯基-N,N'-双(3-甲基苯基)-[1,1'-联苯]-4,4'-二胺(TPD);4,4'-双(咔唑-9-基)联苯(CBP);1,3-双(咔唑-9-基)苯(mCP);1,1-双[(二-4-甲苯基氨基)苯基]环己烷(TAPC);N,N'-双(4-甲基苯基)-N,N'-双(4-乙基苯基)-[1,1'-(3,3'-二甲基)联苯]-4,4'-二胺(ETPD);四(3-甲基苯基)-N,N,N',N'-2,5-苯二胺(PDA);α-苯基-4-N,N-二苯基氨基苯乙烯(TPS);对(二乙基氨基)苯甲醛二苯腙(DEH);三苯胺(TPA);双[4-(N,N-二乙基氨基)-2-甲基苯基](4-甲基苯基)甲烷(MPMP);1-苯基-3-[对(二乙基氨基)苯乙烯基]-5-[对(二乙基氨基)苯基]吡唑啉(PPR或DEASP);1,2-反式-双(9H-咔唑-9-基)环丁烷(DCZB);N,N,N',N'-四(4-甲基苯基)-(1,1'-联苯)-4,4'-二胺(TTB);N,N’-双(萘-1-基)-N,N’-双-(苯基)对二氨基联苯(α-NPB);以及卟啉化合物例如铜酞菁。常用的空穴传输聚合物包括但不限于聚乙烯咔唑、(苯基甲基)聚硅烷、聚二氧噻吩、聚苯胺、以及聚吡咯。还可通过将空穴传输分子诸如上述那些掺入聚合物诸如聚苯乙烯和聚碳酸酯中,来获得空穴传输聚合物。
在一些实施方案中,所述空穴传输层包含空穴传输聚合物。在一些实施方案中,空穴传输层基本上由空穴传输聚合物组成。在一些实施方案中,该空穴传输聚合物为二苯乙烯基芳基化合物。在一些实施方案中,芳基具有两个或更多个稠合芳环。在一些实施方案中,该芳基为并苯。如本文所用,术语“并苯”是指含两个或更多个直线排列的邻位稠合苯环的烃母组分。
在一些实施方案中,所述空穴传输聚合物为芳胺聚合物。在一些实施方案中,其为芴与芳胺单体的共聚物。
在一些实施方案中,所述聚合物具有可交联基团。在一些实施方案中,可通过热处理和/或暴露于紫外线或可见光辐射完成交联。可交联基团的实例包括但不限于乙烯基、丙烯酸酯、全氟乙烯醚、1-苯并-3,4-环丁烷、硅氧烷、以及甲酯。可交联聚合物在溶液法OLED的制造中可具有优势。涂覆可溶性聚合材料以形成在沉积后可转化成不溶性薄膜的层,这可在无层溶解问题存在的情况下制造多层溶液法OLED装置。
可交联聚合物的实例可见于例如已公布的美国专利申请2005/0184287和已公布的PCT专利申请WO2005/052027。
在一些实施方案中,所述空穴传输层包含聚合物,该聚合物为9,9-二烷基芴与三苯胺的共聚物。在一些实施方案中,所述空穴传输层基本上由聚合物组成,该聚合物为9,9-二烷基芴与三苯胺的共聚物。在一些实施方案中,该聚合物为9,9-二烷基芴与4,4’-双(二苯基氨基)联苯的共聚物。在一些实施方案中,该聚合物为9,9-二烷基芴和TPB的共聚物。在一些实施方案中,该聚合物为9,9-二烷基芴和NPB的共聚物。在一些实施方案中,所述共聚物由第三共聚单体制得,所述第三共聚单体选自(乙烯苯基)二苯胺和9,9-二苯乙烯基芴或9,9-双(乙烯基苄基)芴。在一些实施方案中,所述空穴传输层包含含三芳基胺的材料,所述三芳基胺具有以非平面构型连接的共轭部分。此类材料可以是单体或聚合物。此类材料的实例已描述于例如公布的PCT专利申请WO2009/067419中。
在一些实施方案中,空穴传输层掺入有p型掺杂剂,如四氟四氰基喹啉并二甲烷和苝-3,4,9,10-四羧基-3,4,9,10-二酸酐。
在一些实施方案中,空穴传输层包含如上所述的具有式I或式I’的材料。在一些实施方案中,空穴传输层基本上由具有式I或式I’的材料组成。
可以通过任何沉积技术来施加空穴传输层130。在一个实施方案中,通过如上所述的溶液沉积方法来施加空穴传输层。在一个实施方案中,通过连续式溶液沉积方法来施加空穴传输层。
根据装置的应用,发射层140可为通过施加的电压激活的发光层(例如在发光二极管或发光电化学电池中),即响应辐射能并且在施加偏压或不施加偏压的情况下产生信号的材料的层(例如在光电探测器中)。在一个实施方案中,发射材料为有机电致发光(“EL”)材料。任何EL材料均可用于该装置,该材料包括但不限于小分子有机荧光化合物、荧光和磷光金属络合物、共轭聚合物、以及它们的混合物。荧光化合物实例包括但不限于屈、芘、苝、红荧烯、香豆素、蒽、噻二唑、它们的衍生物、以及它们的混合物。金属络合物的实例包括但不限于金属螯合8-羟基喹啉化合物,例如三(8-羟基喹啉)铝(Alq3);环金属铱和铂电致发光化合物,例如在Petrov等人的美国专利6,670,645以及已公布的PCT专利申请WO03/063555和WO2004/016710中所公开的铱与苯基吡啶、苯基喹啉、或苯基嘧啶配体的络合物,以及在例如已公布的PCT专利申请WO03/008424、WO03/091688、以及WO03/040257中所述的有机金属络合物,以及它们的混合物。在一些情况下,小分子荧光或有机金属材料作为掺杂剂与基质材料一起涂镀,以改善加工特性和/或电特性。共轭聚合物的实例包括但不限于聚(苯撑乙烯)、聚芴、聚(螺二芴)、聚噻吩、聚(对亚苯基)、它们的共聚物,以及它们的混合物。
所述发射层140可以通过任何沉积技术来施加。在一个实施方案中,所述发射层通过如上所述的溶液沉积方法来施加。在一个实施方案中,所述发射层通过连续溶液沉积方法来施加。
任选层150不仅可用于促进电子传输,还可用作缓冲层或限制层,以防止层界面处的电子空穴对的淬灭。优选地,该层促进电子移动并且减少电子空穴对的淬灭。可用于任选电子传输层150中的电子传输材料的实例包括金属螯合的8-羟基喹啉酮化合物,包括金属喹啉衍生物,如三(8-羟基喹啉)铝(AlQ)、双(2-甲基-8-羟基喹啉)(对苯基酚氧基)铝(BAlq)、四-(8-羟基喹啉)铪(HfQ)和四-(8-羟基喹啉)锆(ZrQ);以及唑化合物,例如2-(4-联苯基)-5-(4-叔丁基苯基)-1,3,4-二唑(PBD)、3-(4-联苯基)-4-苯基-5-(4-叔丁基苯基)-1,2,4-三唑(TAZ)和1,3,5-三(苯基-2-苯并咪唑)苯(TPBI);喹喔啉衍生物,例如2,3-双(4-氟代苯基)喹喔啉;菲咯啉,例如4,7-二苯基-1,10-菲咯啉(DPA)和2,9-二甲基-4,7-二苯基-1,10-菲咯啉(DDPA);以及它们的混合物。在一些实施方案中,所述电子传输层还包含n型掺杂剂。N型掺杂剂材料是熟知的。n型掺杂剂包括但不限于第1族和第2族金属;第1族和第2族金属盐,如LiF、CsF和Cs2CO3;第1族和第2族金属有机化合物如锂喹啉;以及分子n型掺杂剂,如无色染料、金属配合物,如W2(hpp)4(其中hpp=1,3,4,6,7,8-六氢-2H-嘧啶并-[1,2-a]-嘧啶)和二茂钴、四硫杂萘并萘、双(亚乙基二硫基)四硫富瓦烯、杂环基团或二价基团、以及杂环基团或二价基团的二聚体、低聚物、聚合物、二螺化合物和多环化物。
电子传输层150通常由化学或物理气相沉积方法形成。
阴极160是用于注入电子或负电荷载体尤其有效的电极。阴极可以是功函低于阳极的任何金属或非金属。用于阴极的材料可选自第1族的碱金属(例如锂、铯)、第2族(碱土)金属、第12族金属,包括稀土元素和镧系元素、以及锕系元素。可使用诸如铝、铟、钙、钡、钐和镁、以及它们的组合的材料。含锂的有机金属化合物LiF、Li2O、含Cs的有机金属化合物CsF、Cs2O和Cs2CO3也可在沉积阴极层之前被沉积以降低操作电压。该层可被称为电子注入层。
通常通过化学或物理气相沉积方法形成阴极层160。
在一些实施方案中,有机电子装置中可存在一个或多个附加层。
应当理解,每个功能层可由一个以上的层构成。
在一个实施方案中,不同的层具有以下厚度范围:阳极110, 在一个实施方案中为空穴注入层120,在一个实施方案中空穴传输层130,在一个实施方案中为发射层140,在一个实施方案中为 电子传输层150,在一个实施方案中为阴极160,在一个实施方案中当存在电子注入层时,所沉积的材料的量一般在在一个实施方案中的范围内。层厚度期望的比率将取决于所用的材料的确切性能。
在一些实施方案中,提供了有机电子装置,所述有机电子装置包括设置在电极之上的第一有机活性层和第二有机活性层,并且还包括在所述第一有机活性层和第二有机活性层之间的图案化底涂层,其中所述第二有机活性层仅存在于所述底涂层存在的区域中,并且其中底涂层包含具有如上所述的式I或式I’的材料。在一些实施方案中,底涂层基本上由具有式I或式I’的材料组成。在一些实施方案中,所述第一有机活性层包含导电聚合物和氟化酸聚合物。在一些实施方案中,所述第二有机活性层包含空穴传输材料。在一些实施方案中,所述第一有机活性层包含掺入有氟化酸聚合物的导电聚合物,并且所述第二有机活性层基本上由空穴传输材料组成。
在一些实施方案中,提供了制备包含阳极的有机电子装置的方法,所述阳极具有在其上的空穴注入层和空穴传输层,所述方法包括:
在阳极之上形成空穴注入层,所述空穴注入层包含氟化材料并且具有第一表面能;
在所述空穴注入层上直接形成底涂层;
使底涂层以图案形式暴露于辐射下,获得暴露区域和未暴露区域;
使所述底涂层显影以有效地从所述未暴露区域移除所述底涂层,在空穴注入层上获得显影的底涂层图案,所述显影的底涂层具有高于所述第一表面能的第二表面能;以及
通过在底涂层显影图案上液相沉积,形成空穴传输层;其中所述底涂层包括具有如上所述的式I或式I’的材料。
这图示地显示于图3中。装置200在基板上具有阳极210(未示出)。阳极上的为空穴注入层220。显影的底涂层显示为225。空穴注入层220的表面能比底涂层225的表面能低。当空穴传输层230沉积在底涂层和空穴注入层之上时,它不会润湿低表面能的空穴注入层,并且仅余留在底涂层图案之上。
在一些实施方案中,所述空穴注入层包含掺入有氟化酸聚合物的导电聚合物。在一些实施方案中,所述空穴注入层基本上由掺入有氟化酸聚合物的导电聚合物组成。在一些实施方案中,所述空穴注入层基本上由掺入有氟化酸聚合物和无机纳米颗粒的导电聚合物组成。在一些实施方案中,所述无机纳米颗粒选自氧化硅、氧化钛、氧化锆、三氧化钼、氧化钒、氧化铝、氧化锌、氧化钐、氧化钇、氧化铯、氧化铜、氧化锡、氧化锑、以及它们的组合。此类物质已描述于例如公布的美国专利申请US2004/0102577、US2004/0127637、US2005/0205860和公布的PCT专利申请WO2009/018009中。
在一些实施方案中,底涂层基本上由具有式I或式I’的材料组成。
在一些实施方案中,所述空穴传输层选自三芳基胺、咔唑、它们的聚合类似物、以及它们的组合。在一些实施方案中,所述空穴传输层选自聚三芳基胺、具有以非平面构型连接的共轭部分的聚三芳基胺、以及芴与三芳基胺的共聚物。
在一些实施方案中,所述方法还包括通过在空穴传输层上液相沉积形成发射层。在一些实施方案中,所述发射层包含电致发光的掺杂剂以及一种或多种基质材料。在一些实施方案中,所述发射层通过液相沉积技术形成,所述液相沉积技术选自喷墨印刷和连续喷嘴印刷。
实施例
本文所描述的概念将在以下实施例中进一步描述,所述实施例不限制权利要求中描述的本发明的范围。
附加材料包括:
HIJ-1,它是导电聚合物和聚合氟化磺酸的含水分散体。此类物质已描述于例如公布的美国专利申请US2004/0102577、US2004/0127637、US2005/0205860和公布的PCT专利申请WO2009/018009中。
PM-1
合成实施例
该实施例示出了化合物R的合成。
在一个250mL的具有搅拌棒和冷凝器的两颈圆底烧瓶中,将1,4-二溴-2,5-二己基苯(8.05毫摩尔,3.255g)、硼酸酯26(17.7毫摩尔,7.545g)、Na2CO3(40.3毫摩尔,4.268g)和Aliquat336(0.500g)悬浮于甲苯中(100mL)。使反应混合物脱气,并加入Pd(PPh3)4(0.403毫摩尔,0.465g),随后加入脱气的水(50mL)。将反应加热至90℃两天。用乙酸乙酯(150mL)稀释所得反应混合物,用乙酸乙酯(3×100mL)洗涤。用盐水(2×100mL)洗涤有机层,经过硫酸镁干燥、过滤并浓缩。通过柱层析在硅胶上纯化,使用1:3DCM:己烷以产生白色粉末(56%,3.8g)。1HNMR(500MHz,CD2Cl2)δ=7.45(d,J=8.5Hz,4H),7.33-7.31(m,5H),7.26-7.19(m,5H),6.65(s,2H),2.71-2.63(m,9H0,1.54(s,18H),1.31-1.20(m,14H),1.15(t,J=7.49,7H),0.83(t,J=6.85Hz,6H)。
将化合物27(4.54毫摩尔,3.800g)添加到200mL的圆底烧瓶中,并溶解于二氯甲烷(90mL)中。向所述溶液中滴加三氟乙酸(45.4毫摩尔,5.175g)。一天后,通过旋转蒸发移除二氯甲烷,并将所得的灰色粉末溶解于乙醚中(100mL),加入碳酸氢钠(100mL)以中和TFA。分离所述层,并且有机层用水(2×100mL)洗涤,随后用盐水(2×100mL)洗涤。经过硫酸镁干燥并浓缩产生灰白色粉末(100%,2.891g)。1HNMR(500MHz,CD2Cl2)δ=7.28(m,2H),7.23-7.18(m,6H),7.16(d,J=8.47Hz,4H),6.76(d,J=8.37Hz,4H),3.77(s,4H),2.71-2.63(m,6H),1.3-1.19(m,16H),1.15(t,J=7.5Hz,8H),0.83(t,J=6.86Hz,6H)。
在250mL的圆底烧瓶中,加入二胺28(4.539毫摩尔,2.891g)、溴代联苯(9.123毫摩尔,2.127g)和甲苯(65mL)。随后加入Pd2(dba)3(0.227毫摩尔,0.208g)和PtBu3(0.454毫摩尔,0.092g)。在添加碱NaOtBu(9.078毫摩尔,0.845g)之前,将所述反应混合物搅拌5分钟。三天后,将所得反应混合物用甲苯(100mL)稀释,通过二氧化硅和硅藻土垫过滤,用甲苯(3×100mL)洗涤,随后用乙酸乙酯(2×100mL)洗涤并浓缩成棕色固体。用柱层析在硅胶上纯化,使用洗脱液1:6乙酸乙酯:己烷以产生白色粉末(55%,2.367g)。1HNMR(500MHz,CD2Cl2)δ=7.61(d,J=7.24Hz,4H),7.57(d,J=8.51Hz,4H),7.43(t,J=7.6Hz,4H),7.34-7.28(m,12H),5.99(s,2H),2.73(q,J=Hz,4H),2.66(t,J=7.7Hz,6H),1.33-1.17(m,20H),0.84(t,J=7.2Hz,6H)。
在一个100mL的配有冷凝器和搅拌棒的两颈圆底烧瓶中,将化合物29(2.515毫摩尔,2.367g)和1-溴-4-碘苯(3.772毫摩尔,1.067g)、Pd2(dba)3(0.126毫摩尔,0.115g)和1,1-双(二苯基膦基)二茂铁(0.251毫摩尔,0.139g)悬浮在甲苯中(100mL)。搅拌所述混合物,加入叔丁醇钠(2.766毫摩尔,0.266g)。在90℃下加热反应混合物直至反应完成。将所得反应混合物用甲苯(50mL)稀释,通过二氧化硅和硅藻土垫过滤,用甲苯(2×200mL)洗涤并浓缩以形成棕色的固体。通过柱层析在硅胶上纯化,使用1:2二氯甲烷:己烷,产品部分用MeOH洗涤,过滤以提供白色粉末(23%,0.715g)。1HNMR(500MHz,CD2Cl2)δ=7.61(d,J=6.95Hz,4H),7.56(d,J=8.73Hz,4H),7.46-7.4(m,8H),7.34-7.29(m,10H),7.24-7.18(m,12H),7.08(d,J=8.89Hz,4H),2.74(q,J=7.5Hz,4H),2.65(tJ=8.12Hz,6H),1.32-1.18(m,22H),0.83(t,J=6.88Hz,6H)。
将化合物30(0.626g,0.50毫摩尔)加入闪烁小瓶中,并且溶于16mL甲苯中。向干净的50mL干燥施兰克试管中加入双(1,5-环辛二烯)合镍(0)(0.278g,1.010mmol)。称量2,2’-联吡啶(0.158g,1.010毫摩尔)和1,5-环辛二烯(0.109g,1.010毫摩尔),加入闪烁小瓶中,并且溶于4mLN,N’-二甲基甲酰胺中。将溶液加入施兰克管中。将施兰克管插入铝块中,然后加热铝块,并且在设定值下的加热板/搅拌器上搅拌,达到60℃内温。使催化剂体系在60℃下保持30分钟,然后升至70℃。将单体的甲苯溶液加入施兰克管中,并且将管密封。将聚合混合物在70℃下搅拌18h。18h后,从装置中取出施兰克管,并且使其冷却至室温。将所述管从手套箱中取出,并且将内容物倒入浓HCl/MeOH溶液(1.5%v/v浓HCl)中。搅拌2h后,通过真空过滤收集聚合物,并且在高真空下干燥。通过由甲苯至HCl/MeOH(1%v/v浓HCl)、MeOH、甲苯(CMOS等级)和乙酸乙酯。获得白色纤维状聚合物(0.27g,47%收率)。由GPC(THF流动相,聚苯乙烯标准物)测定所述聚合物的分子量:Mw=140,399;Mn=47,682。NMR分析确证化合物R的结构。
附加的二溴化合物能够被以类似的方法制得。附加的聚合物可由二溴化合物以类似于上文描述的方法制得。一些附加的聚合物的分子量提供于下表1中。所述分子量通过GPC(THF移动相,聚苯乙烯标准物)确定。
表1:聚合物分子量
化合物 Mn Mw
S 152,561 270,588
T 145,262 386,212
DD 59,391 1,058,617
EE 196,812 515,643
实施例1-4
这些实施例示出了不同的底涂材料以及所得在接触角上的变化,其中所述底涂层通过液相沉积形成,并且通过用液体处理显影。
通过将HIJ-1或HIJ-2的含水分散体旋涂到玻璃基板上至50nm厚度制得测试试块。干燥该层后,通过将底涂材料甲苯溶液旋涂到干燥的HIJ层形成底涂层。干燥后,使底涂层以图案形式暴露于辐射下。在248nm处实施暴露,剂量为100mJ/cm2。暴露后,以2000rpm速率旋转并且喷雾苯甲醚60s将底涂层显影,然后通过旋转30s干燥。材料和结果的总结示于表2中。
表2:测试试块结果
实施例5-8和比较实施例A
该实施例示出了通过在电子装置中液相沉积形成的底涂层,其中所述发射层通过气相沉积形成。
装置在玻璃基板上具有以下结构:
阳极=氧化铟锡(ITO):50nm
空穴注入层=HIJ-1(50nm)
底涂层:实施例5=化合物S(20nm)
实施例6=化合物T(20nm)
实施例7=化合物DD(20nm)
实施例8=化合物EE(20nm)
比较实施例A=无
空穴传输层=PM-1(20nm)
发射层=13:1基质1:掺杂剂1(40nm),其中基质1为二芳基蒽化合物,并且掺杂剂1为双(二芳基氨基)化合物
电子传输层=ET1,其为金属喹啉衍生物(10nm)
阴极=CsF/Al(0.7/100nm)
通过溶液工艺和热蒸发技术的组合来制造OLED装置。使用得自ThinFilmDevices,Inc的图案化氧化铟锡(ITO)镀膜玻璃基板。ITO基板基于涂覆有ITO的Corning1737玻璃,其具有30欧/平方的薄层电阻和80%的透光率。在含水洗涤剂溶液中超声清洁图案化ITO基板,并且用蒸馏水冲洗。随后在丙酮中超声清洁图案化ITO,用异丙醇冲洗并且在氮气流中干燥。
在即将制造装置之前,用紫外臭氧将干净的图案化ITO基板处理10分钟。在冷却后立即在ITO表面之上旋涂HIJ-1的含水分散体并且加热去除溶剂。冷却后,通过将PM-3的甲苯溶液旋涂到空穴注入层上来形成底涂层。底涂层以图案方式暴露于248nm下,剂量为100mJ/cm2。暴露后,通过在甲苯中浸泡并搅拌,然后用甲苯冲洗来使底涂层显影。用氮气干燥显影层。对于比较实施例A不存在底涂层。然后用空穴传输材料溶液旋涂所述基板,然后加热移除溶剂。冷却后,将基板遮罩,并且放入真空室中,然后通过热蒸发沉积发射层材料,接着沉积电子传输层,然后沉积CsF层。然后在真空下更换掩模并通过热蒸发来沉积铝层。将室排气并且使用玻璃封盖、干燥剂和紫外可固化环氧化物来封装所述装置。
OLED样本的特征在于测量(1)电流-电压(I-V)曲线,(2)相对于电压的电致发光辐射,和(3)相对于电压的电致发光光谱。所有三个测试均同时进行并且由计算机控制。通过将LED的电致发光辐射除以运行该装置所需的电流来确定在某一电压下该装置的电流效率。所述单位为cd/A。所述功率效率为电流效率乘以pi,除以操作电压。单位是lm/W。
所得装置数据示于表3中。
表3:装置性能
所有数据1000尼特;CIE(x,y)是根据C.I.E.色度(CommissionInternationaledeL'Eclairage,1931)的x和y颜色坐标;CE=电流效率,以cd/A计;EQE=外部量子效率,以%计;PE=功率效率,以lm/W计;寿命测试电流密度以mA/cm2计;寿命测试发光性=以尼特计的发光性;原T50为指定寿命测试发光性下装置达到最初发光性一半的时间,以小时计。预测T50为1000尼特下,使用加速因子1.7的以小时计的预期寿命。
应注意的是,并不是所有的上文一般性描述或实施例中所描述的行为都是必须的,一部分具体行为不是必需的,并且除了所描述的那些以外,还可实施一个或多个其他行为。此外,所列行为的顺序不必是它们实施的顺序。
在上述说明书中,已参考具体的实施方案描述了不同概念。然而,本领域的普通技术人员认识到在不脱离以下权利要求中所示出的本发明范围的情况下可作出多种修改和变型。因此,说明书和附图应被认为是示例性而非限制性的,并且所有此类修改形式均旨在包括于本发明的范围内。
以上已针对具体的实施方案描述了有益效果、其它优点及问题的解决方案。然而,有益效果、优点、问题的解决方案、以及可致使任何有益效果、优点或解决方案产生或变得更显著的任何特征不可解释为是任何或所有权利要求的关键、必需或基本特征。
应当认识到,为清楚起见,本文不同实施方案的上下文中所描述的某些特点也可在单个实施方案中以组合方式提供。反之,为简化起见,在单个实施方案上下文中所描述的多个特征也可以分别提供,或以任何子组合的方式提供。此外,范围内描述的相关数值包括所述范围内的每个值。

Claims (16)

1.用于在第一层之上形成内含的第二层的方法,所述方法包括:
形成具有第一表面能的第一层;
用底涂材料处理所述第一层以形成底涂层;
使底涂层以图案形式暴露于辐射下,获得暴露区域和未暴露区域;
使所述底涂层显影以有效地从所述未暴露区域移除所述底涂层,获得具有底涂层图案的第一层,其中所述底涂层的图案具有高于所述第一表面能的第二表面能;以及
通过在所述第一层上的底涂层的图案上液相沉积形成所述第二层;
其中所述底涂材料具有式Ia:
其中:
Ar1和Ar2相同或不同并且为具有式a的芳基:
其中:
R10在每次出现时相同或不同并且选自D、烷基、烷氧基、硅氧烷和甲硅烷基;
j在每次出现时相同或不同并且为0-4的整数;
k为0-5的整数;以及
m为1至5的整数;
R1至R5在每次出现时独立地相同或不同并且选自D、F、烷基、芳基、烷氧基、甲硅烷基和可交联的基团;
R6在每次出现时相同或不同并且选自H、D和卤素;
a至e独立地为0至4的整数;
f为1或2;
g为0、1或2;
h为1或2;并且
n为大于0的整数。
2.根据权利要求1所述的方法,其中通过用液体处理实施显影。
3.根据权利要求1所述的方法,其中Ar1和Ar2选自联苯、三联苯基、它们的氘代衍生物以及它们的具有一个或多个选自烷基、烷氧基、甲硅烷基的取代基和具有交联基团的取代基的衍生物。
4.根据权利要求1所述的方法,其中R1至R5选自D和C1-10烷基。
5.根据权利要求1所述的方法,其中a=e=0。
6.根据权利要求1所述的方法,其中a=e=4,并且R1和R5为D。
7.根据权利要求1所述的方法,其中b>0并且至少一个R2为烷基。
8.根据权利要求1所述的方法,其中c>0并且至少一个R3为烷基。
9.根据权利要求1所述的方法,其中d>0并且至少一个R4为烷基。
10.用于制备包含电极的有机电子装置的方法,所述电极具有定位在所述电极之上的第一有机活性层和第二有机活性层,所述方法包括:
在所述电极之上形成具有第一表面能的第一有机活性层;
用底涂材料处理所述第一有机活性层以形成底涂层;
使底涂层以图案形式暴露于辐射下,获得暴露区域和未暴露区域;
使所述底涂层显影以有效地从或所述未暴露区域移除所述底涂层,获得具有底涂层图案的第一活性有机层,其中所述底涂层的图案具有高于所述第一表面能的第二表面能;以及
通过在所述第一有机活性层上的底涂层的图案上液相沉积形成所述第二有机活性层;
其中所述底涂材料具有式Ia:
其中:
Ar1和Ar2相同或不同并且为具有式a的芳基:
其中:
R10在每次出现时相同或不同并且选自D、烷基、烷氧基、硅氧烷和甲硅烷基;
j在每次出现时相同或不同并且为0-4的整数;
k为0-5的整数;以及
m为1至5的整数;
R1至R5在每次出现时独立地相同或不同并且选自D、F、烷基、芳基、烷氧基、甲硅烷基和可交联的基团;
R6在每次出现时相同或不同并且选自H、D和卤素;
a至e独立地为0至4的整数;
f为1或2;
g为0、1或2;
h为1或2;并且
n为大于0的整数。
11.根据权利要求10所述的方法,其中所述第一有机活性层为空穴传输层,并且所述第二有机活性层为发射层。
12.根据权利要求10所述的方法,其中所述第一有机活性层为空穴注入层,并且所述第二有机活性层为空穴传输层。
13.根据权利要求12所述的方法,其中所述空穴注入层包含导电聚合物和氟化酸聚合物。
14.根据权利要求12所述的方法,其中所述空穴注入层基本上由掺入有氟化酸聚合物和无机纳米颗粒的导电聚合物组成。
15.根据权利要求12所述的方法,还包括通过在所述空穴传输层上液相沉积形成发射层。
16.有机电子装置,所述有机电子装置包括定位在电极之上的第一有机活性层和第二有机活性层,并且还包括在所述第一和第二有机活性层之间的图案化底涂层,其中所述第二有机活性层仅存在于所述底涂层存在的区域中,并且其中所述底涂层包含具有式Ia的材料:
其中:
Ar1和Ar2相同或不同并且为具有式a的芳基:
其中:
R10在每次出现时相同或不同并且选自D、烷基、烷氧基、硅氧烷和甲硅烷基;
j在每次出现时相同或不同并且为0-4的整数;
k为0-5的整数;以及
m为1至5的整数;
R1至R5在每次出现时独立地相同或不同并且选自D、F、烷基、芳基、烷氧基、甲硅烷基和可交联的基团;
R6在每次出现时相同或不同并且选自H、D和卤素;
a至e独立地为0至4的整数;
f为1或2;
g为0、1或2;
h为1或2;并且
n为大于0的整数。
CN201180027968.8A 2010-06-17 2011-06-16 用于制备内含层的方法和材料以及由其制成的装置 Active CN102934029B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US35561710P 2010-06-17 2010-06-17
US61/355,617 2010-06-17
PCT/US2011/040657 WO2011159876A2 (en) 2010-06-17 2011-06-16 Process and materials for making contained layers and devices made with same

Publications (2)

Publication Number Publication Date
CN102934029A CN102934029A (zh) 2013-02-13
CN102934029B true CN102934029B (zh) 2015-11-25

Family

ID=44627906

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180027968.8A Active CN102934029B (zh) 2010-06-17 2011-06-16 用于制备内含层的方法和材料以及由其制成的装置

Country Status (7)

Country Link
US (1) US20130087779A1 (zh)
EP (1) EP2583139A2 (zh)
JP (1) JP2013534026A (zh)
KR (1) KR20130098984A (zh)
CN (1) CN102934029B (zh)
TW (1) TW201200975A (zh)
WO (1) WO2011159876A2 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011014216A1 (en) * 2009-07-27 2011-02-03 E. I. Du Pont De Nemours And Company Process and materials for making contained layers and devices made with same
TW201204687A (en) * 2010-06-17 2012-02-01 Du Pont Electroactive materials
DE102011089687A1 (de) * 2011-12-22 2013-06-27 Hartmut Yersin Singulett-Harvesting mit speziellen organischen Molekülen ohne Metallzentren für opto-elektronische Vorrichtungen
CN108530624B (zh) * 2013-10-04 2021-10-26 三菱化学株式会社 聚合物、有机电致发光元件用组合物和有机电致发光元件
US9525134B1 (en) * 2015-08-11 2016-12-20 E I Du Pont De Nemours And Company Hole transport materials
TWI786143B (zh) * 2017-07-03 2022-12-11 德商麥克專利有限公司 有機電致發光裝置及其產製方法
JP7427317B2 (ja) 2020-03-27 2024-02-05 エルジー・ケム・リミテッド 新規な高分子およびこれを用いた有機発光素子
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
KR20220018418A (ko) * 2020-08-06 2022-02-15 주식회사 엘지화학 유기 발광 소자
JP2023526683A (ja) * 2020-08-06 2023-06-22 エルジー・ケム・リミテッド 有機発光素子

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1643107A (zh) * 2002-03-09 2005-07-20 Cdt牛津有限公司 可聚合组合物和含有它的有机发光器件
CN1681869A (zh) * 2002-09-24 2005-10-12 E.I.内穆尔杜邦公司 用于电子器件用聚合物酸胶体制成的可水分散的聚苯胺

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6670645B2 (en) 2000-06-30 2003-12-30 E. I. Du Pont De Nemours And Company Electroluminescent iridium compounds with fluorinated phenylpyridines, phenylpyrimidines, and phenylquinolines and devices made with such compounds
US6875523B2 (en) 2001-07-05 2005-04-05 E. I. Du Pont De Nemours And Company Photoactive lanthanide complexes with phosphine oxides, phosphine oxide-sulfides, pyridine N-oxides, and phosphine oxide-pyridine N-oxides, and devices made with such complexes
IL158865A0 (en) 2001-07-18 2004-05-12 Du Pont Luminescent lanthanide complexes with imine ligands and devices made with such complexes
US7166368B2 (en) 2001-11-07 2007-01-23 E. I. Du Pont De Nemours And Company Electroluminescent platinum compounds and devices made with such compounds
EP2306788A1 (en) 2001-12-26 2011-04-06 E. I. du Pont de Nemours and Company Iridium compounds and devices made therewith
US6963005B2 (en) 2002-08-15 2005-11-08 E. I. Du Pont De Nemours And Company Compounds comprising phosphorus-containing metal complexes
US7098060B2 (en) 2002-09-06 2006-08-29 E.I. Du Pont De Nemours And Company Methods for producing full-color organic electroluminescent devices
EP1546237B2 (en) * 2002-09-24 2019-04-24 E. I. du Pont de Nemours and Company Water dispersible polythiophenes made with polymeric acid colloids
TW201219350A (en) 2003-11-17 2012-05-16 Sumitomo Chemical Co Crosslinkable arylamine compounds
US7365230B2 (en) 2004-02-20 2008-04-29 E.I. Du Pont De Nemours And Company Cross-linkable polymers and electronic devices made with such polymers
DE102004009355A1 (de) * 2004-02-26 2005-09-15 Covion Organic Semiconductors Gmbh Verfahren zur Vernetzung organischer Halbleiter
US7351358B2 (en) 2004-03-17 2008-04-01 E.I. Du Pont De Nemours And Company Water dispersible polypyrroles made with polymeric acid colloids for electronics applications
EP2173811A1 (en) 2007-07-27 2010-04-14 E. I. du Pont de Nemours and Company Aqueous dispersions of electrically conducting polymers containing inorganic nanoparticles
JP2009087781A (ja) * 2007-09-28 2009-04-23 Dainippon Printing Co Ltd エレクトロルミネッセンス素子およびその製造方法
US8063399B2 (en) * 2007-11-19 2011-11-22 E. I. Du Pont De Nemours And Company Electroactive materials
JP5155085B2 (ja) * 2008-10-01 2013-02-27 住友化学株式会社 有機エレクトロルミネッセンス素子、およびその製造方法
WO2011014216A1 (en) * 2009-07-27 2011-02-03 E. I. Du Pont De Nemours And Company Process and materials for making contained layers and devices made with same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1643107A (zh) * 2002-03-09 2005-07-20 Cdt牛津有限公司 可聚合组合物和含有它的有机发光器件
CN1681869A (zh) * 2002-09-24 2005-10-12 E.I.内穆尔杜邦公司 用于电子器件用聚合物酸胶体制成的可水分散的聚苯胺

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Crosslinkable hole-transporting materials for solution processed polymer light-emitting diodes;Fei Huang,et al;《Journal of Materials Chemistry》;20080728;第18卷;第4495页右栏第2段,第4502页左栏第2段-右栏第2段 *
Photopatterning of Crosslinkable Hole-Conducting Materials for Application in Organic Light-Emitting Devices;Erwin Bacher,et al.;《Macromolecular》;20041231;第25卷;第1191-1196页 *

Also Published As

Publication number Publication date
KR20130098984A (ko) 2013-09-05
WO2011159876A2 (en) 2011-12-22
EP2583139A2 (en) 2013-04-24
JP2013534026A (ja) 2013-08-29
WO2011159876A3 (en) 2012-03-15
US20130087779A1 (en) 2013-04-11
TW201200975A (en) 2012-01-01
CN102934029A (zh) 2013-02-13

Similar Documents

Publication Publication Date Title
CN102934029B (zh) 用于制备内含层的方法和材料以及由其制成的装置
CN102470660B (zh) 制造围阻层的方法和材料以及由其制成的器件
CN102986052B (zh) 有机电致发光元件、有机电致发光器件、有机el显示装置和有机el照明
CN103931009B (zh) 有机电致发光元件和有机电致发光器件
JP5871949B2 (ja) 閉じ込め層およびそれを使って製造されるデバイスを製造するための方法および材料
CN102349132B (zh) 形成电活性层的方法
EP2188860A1 (en) Electron transport bi-layers and devices made with such bi-layers
CN104011895A (zh) 制造内含层的方法和材料以及由其制成的器件
JP2008098615A (ja) 有機電子デバイス
CN107108861B (zh) 空穴传输材料
CN102318040B (zh) 形成电活性层的方法
CN103314463A (zh) 有机电致发光元件、有机电致发光元件用组合物以及有机电致发光装置
CN109075255A (zh) 电活性材料
CN103380507A (zh) 制造围阻层的方法和材料以及由其制成的装置
CN101507177A (zh) 制备限制的层的方法和用该方法制造的装置
CN104838513B (zh) 用于制备内含层的方法和材料以及由其制成的设备
TW201233662A (en) Process and materials for making contained layers and devices made with same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20190617

Address after: Seoul, South Kerean

Patentee after: LG Chemical Ltd.

Address before: Delaware

Patentee before: E.I. Du Pont De Nemours and Company