CN102598263B - 采用导电贯穿基板通路的集成去耦电容器 - Google Patents

采用导电贯穿基板通路的集成去耦电容器 Download PDF

Info

Publication number
CN102598263B
CN102598263B CN201080050627.8A CN201080050627A CN102598263B CN 102598263 B CN102598263 B CN 102598263B CN 201080050627 A CN201080050627 A CN 201080050627A CN 102598263 B CN102598263 B CN 102598263B
Authority
CN
China
Prior art keywords
conduction
substrate
cavity
dielectric
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080050627.8A
Other languages
English (en)
Other versions
CN102598263A (zh
Inventor
M·F·麦卡利斯特
M·J·沙皮罗
金兑洪
E·J·斯普罗吉斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tessera Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN102598263A publication Critical patent/CN102598263A/zh
Application granted granted Critical
Publication of CN102598263B publication Critical patent/CN102598263B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30107Inductance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

半导体基板(10)中的电容器(180)采用导电贯穿基板通路(TSV)(80)作为内部电极,并采用柱状掺杂半导体区域作为外部电极。电容器(80)在小的区域内提供大的去耦电容,并且不影响电路密度或Si 3D结构设计。附加的导电TSV可设置在半导体基板(10)中以提供对电源的电连接以及通过其的信号传输。与具有可比的电容的传统电容器阵列相比,电容器(180)具有更低的电感系数,从而能够减小堆叠的半导体芯片的电源系统中的高频噪声。

Description

采用导电贯穿基板通路的集成去耦电容器
技术领域
本发明涉及半导体结构的领域,特别是涉及采用导电贯穿基板通路的去耦电容器及其制造方法。
背景技术
近年来,已经提出了“三维硅”(3D Si)结构,其能够接合安装在封装或系统板上的多个硅芯片和/或晶片。3D Si结构提高了集成在给定空间内的有源电路的密度。
随着单元面积的电路密度的增加,每单位面积的开关活动量也增加。这导致参考电源上产生的噪声的增加。由于噪声增加,内部器件的性能以及片外驱动器(off-chip driver)的性能由于系统设计的可用的噪声容限减小而被不利地影响。
目前,通过在有源硅器件内埋设深沟槽电容器(DTC)来控制此噪声。为了获得足够程度的去耦,需要大阵列的DTC。随着3D Si结构中电路密度、开关活动和电源分配结构的改善,需要更多的DTC来控制噪声发生。此外,由于形成多个DTC阵列,有源电路和DTC阵列之间的电感系数增加,从而要求形成附加的DTC来存储能量,该能量用于平衡反向电磁力(backelectromagnetic force)噪声。
噪声的电压Vn由下式给出:
Vn=L×(dI/dt),
其中L是电感系数,I是电流,且t是时间。随着电感系数(L)增加,或者随着电流变化(dl/dt)的速率(与电路开关速率成正比)增加,噪声Vn成正比增加。
以上考虑显示需要一种具有低电感的电容结构以控制3D Si结构内产生的或者传送到3DSi结构中的电感噪声。
发明内容
根据本发明的实施例,半导体基板中的电容器采用作为内部电极的导电贯穿基板通路(TSV)和作为外部电极的柱状掺杂半导体区域。电容器在很小的区域中提供很大的去耦电容,并且不影响电路密度或Si 3D结构设计。附加的导电TSV可提供在半导体基板中以提供其中的电源线和信号传输的电连接。该电容器与具有可比电容的传统阵列电容器相比具有更低的电感系数,因此能减小堆叠的半导体芯片的电源系统上的高频噪声。
根据本发明的一个方面,半导体结构包括:半导体芯片,其包括半导体基板;至少一个电容器,埋设在半导体基板中;以及至少一个横向绝缘的导电贯穿基板连接结构。至少一个电容器的每一个都包括:内部电极,包括导电贯穿基板通路(TSV)结构;节点电介质,横向接触且横向包封内部电极;以及外部电极,横向接触且横向包封节点电介质的一部分。
根据本发明的另一个方面,半导体结构包括设置在半导体基板中的电容器和设置在半导体基板上的接触结构。该电容器包括内部电极、节点电介质和外部电极。内部电极包括导电贯穿基板通路(TSV)结构,其连续延伸至少从半导体基板的上表面到半导体基板的下表面。节点电介质横向接触且横向包封内部电极,并且连续延伸从上表面到下表面。外部电极横向接触且横向包封节点电介质的一部分。接触结构导电连接到外部电极。
根据本发明的再一个方面,提供形成半导体结构的方法。该方法包括在半导体基板中形成电容器且横向绝缘的导电贯穿基板连接结构。横向绝缘的导电贯穿基板连接结构通过在半导体基板中形成的第一贯穿基板空腔周围形成电介质管状结构而形成;并且用导电材料填充电介质管状结构内的空腔。电容器通过掺杂半导体基板的一部分形成外部电极而形成在第二贯穿基板空腔周围;在第二贯穿基板空腔的表面上形成节点电介质;并且通过用导电材料填充第二通过基板空腔而形成内部电极。
根据本发明的又一个方面,提供形成半导体结构的方法。该方法包括提供半导体芯片以及采用焊料球阵列电连接半导体芯片到安装结构。半导体芯片包括半导体基板;至少一个电容器,埋设在半导体基板中;以及至少一个横向绝缘的导电贯穿基板连接结构。至少一个电容器具有包括导电贯穿基板通路(TSV)结构的内部电极。
附图说明
图1-18是根据本发明第一实施例的第一示范性结构通过各种工艺步骤的顺序垂直截面图。
图19是根据本发明第二实施例的第二示范性结构的垂直截面图。
图20是根据本发明第三实施例的第三示范性结构的垂直截面图。
图21是示出模拟结果的曲线图,其示出了在根据本发明实施例的示范性结构提供的高频下的噪声减小。
具体实施方式
如上所述,本发明涉及半导体结构,具体涉及采用导电贯穿基板通路的去耦电容器及其制造方法,现在参考附图进行详细描述。在全部附图中,相同的参考标号或字母用于表示类似或等价的元件。附图不必按比例绘制。
如本文所用,“导电贯穿基板通路(TSV)结构”是延伸通过基板(即,至少从基板的顶表面到基板的底表面)的导电结构。
如本文所用,“横向绝缘的导电贯穿基板连接结构”是导电TSV结构与横向围绕导电TSV结构且电隔离导电TSV结构与基板的另一个结构的组件。
如本文所用,“安装结构”是半导体芯片通过制作电连接可安装至的任何结构。安装结构可为封装基板、插入结构或另一半导体芯片。
如本文所用,如果第一元件和第二元件之间在“横向方向”上存在直接物理接触,则第一元件“横向接触”第二元件,“横向方向”是垂直于基板的顶表面或底表面的任何方向。
如本文所用,如果第一元件的内边缘位于第二元件的外边缘上或其外侧,则第一元件“横向围绕”第二元件。
如本文所用,如果第二元件的所有外表面位于第一元件的内表面内,则第一元件“包封”第二元件。
如本文所用,如果两个元件之间存在允许电流导通的导电路径,则两个元件彼此“导电连接”。
参见图1,根据本发明第一实施例的第一示范性结构包括具有半导体材料的半导体基板10。半导体基板10的半导体材料可选自但不限于硅、锗、硅-锗合金、硅碳合金、硅-锗-碳合金、砷化镓、砷化铟、磷化铟、III-V化合物半导体材料、II-VI化合物半导体材料、有机半导体材料及其它化合物半导体材料。优选地,半导体基板10的半导体材料是单晶材料。例如,半导体基板10可为单晶硅层。半导体基板10可掺杂有第一导电类型的掺杂剂,第一导电类型可为p型或n型。半导体基板10的掺杂剂浓度可为1.0×1014/cm3至1.0×1017/cm3
掺杂阱区域12通过将第二导电类型的掺杂剂注入通过半导体基板10的顶表面的一部分而形成在半导体基板10中。第二导电类型与第一导电类型相反。如果第一导电类型为p型,则第二导电类型为n型,反之亦然。掺杂阱区域12的掺杂剂浓度可为1.0×1018/cm3至1.0×1021/cm3以增加掺杂阱区域12的导电性。
参见图2,衬垫电介质层16和第一掩模层18形成在半导体基板10的顶表面上。衬垫电介质层16可形成或可不形成在半导体基板10的后侧上。衬垫电介质层16包括诸如氮化硅的电介质材料。第一掩模层18可由光致抗蚀剂或诸如氧化硅或氮化硅的电介质材料构成。
参见图3,第一掩模层18被光刻图案化,并且第一掩模层18中的图案通过采用第一掩模层18作为蚀刻掩模的各向异性蚀刻而转移至半导体基板10。第一贯穿基板空腔47形成在半导体基板10中。第一贯穿基板空腔47的横向尺寸,例如,直径、长轴、短轴、边长,可为1微米至100微米,且典型地为3微米至30微米,尽管也可采用更小或更大的横向尺寸。
参见图4,第一掩模层18可对半导体基板10选择性去除。例如,通过将第一贯穿基板空腔47的侧壁上半导体基板10的暴露部分转变成电介质材料,电介质管状结构20形成在第一贯穿基板空腔47周围。例如,半导体基板的暴露部分可通过热氧化转变成电介质氧化物。电介质管状结构20可包括半导体基板10的半导体材料的氧化物。例如,如果半导体基板10包括硅,则电介质管状结构20可包括氧化硅。衬垫电介质层16防止半导体基板10的其它部分转变成电介质材料。电介质管状结构20从半导体基板10的顶表面延伸到半导体基板10的底表面。电介质管状结构20的水平截面区域包括对应于第一贯穿基板空腔47的孔。电介质管状结构20的厚度,如在电介质管状结构20的内边缘与电介质管状结构20的外部周边之间横向测量的,可为100nm至1微米,尽管也可采用更小或更大的厚度。
参见图5,衬垫电介质层16可被去除。可选地,电介质衬垫30设置在电介质管状结构20的内部侧壁上。电介质衬垫30例如可包括氧化硅层和氮化硅层的堆叠。
参见图6,以第一可移除材料填充第一贯穿基板空腔47以形成第一可移除材料层49L。第一可移除材料层49L延伸穿过半导体基板10并且覆盖半导体基板10的两侧,因此包封半导体基板10。第一可移除材料例如可为诸如多晶硅的含多晶硅的材料或诸如非晶硅的含非晶硅的材料。
参见图7,从半导体基板10的前侧和后侧去除第一可移除材料层49L,例如通过回蚀刻工艺或化学机械抛光(CMP)。此外,第一可移除材料层49L的一部分凹陷在半导体基板10的顶表面下方凹陷深度rd,凹陷深度rd可为200nm至2,000nm,尽管也可采用更小和更大的凹陷深度rd。第一可移除材料层49L的剩余部分构成第一可移除材料部分49。
参见图8,通过用电介质材料填充第一可移除材料部分49上方的空腔并且去除电介质衬垫30的顶表面上方的多余电介质材料形成电介质帽部分50。可选地,氮化硅帽层(未示出)可沉积在电介质帽部分50的顶表面上以及电介质衬垫30位于半导体基板10的前侧上的部分上。
参见图9,第二掩模层51形成在半导体基板10的顶表面上方。第二掩模层51可由光致抗蚀剂或诸如氧化硅或氮化硅的电介质材料构成。第二掩模层51被光刻图案化以在没有放置可移除材料部分49和电介质管状结构20的区域中形成开口。在掺杂阱区域12上方或靠近掺杂阱区域12形成第二掩模层51中的开口。第二掩模层51中的图案通过采用第二掩模层51作为蚀刻掩模的各向异性蚀刻转移至半导体基板10。第二贯穿基板空腔67形成在半导体基板10中。第二贯穿基板空腔67的横向尺寸(例如,直径、长轴、短轴、边长)可为1微米至100微米,且典型地为3微米至30微米,尽管也可采用更小和更大的横向尺寸。
参见图10,掺杂材料层52沉积在第一示范性结构的暴露表面上,第一示范性结构的暴露表面包括第二贯穿基板空腔67的侧壁。掺杂材料层52包括第二导电类型的掺杂剂。掺杂材料层52例如可为砷硅酸盐玻璃(arsenosilicate,-ASG)层。掺杂材料层52的厚度小于第二贯穿基板空腔67的最小横向尺寸的一半以防止堵塞第二贯穿基板空腔67。可选地,电介质盖帽层(未示出)可沉积在掺杂材料层52上方以防止在随后的驱入退火(drive-in anneal)期间的掺杂剂损耗。
参见图11,执行驱入退火以诱导第二导电类型的掺杂剂外扩散进入半导体基板10的围绕第二贯穿基板空腔67的区域。通过掺杂半导体基板10在在第二贯穿基板空腔67周围的一部分形成外部电极。具体地,通过将管状区域(即管的形状的区域)转变成具有第二导电类型掺杂的掺杂半导体区域而形成外部电极60。例如,诸如砷硅酸盐玻璃层的含掺杂剂材料层可沉积在第二贯穿基板空腔67的侧壁上,并且掺杂剂可通过驱入退火被驱入半导体基板10中。外部电极60是包括掺杂半导体材料的掺杂管状部分,即,具有管的形状。外部电极60的外边缘与外部电极的内边缘(即与掺杂材料层52的边界)之间的横向距离可为150nm至1,000nm,尽管也可采用更小和更大的距离。外部电极60的掺杂剂浓度可为1.0×l018/cm3至1.0×1020/cm3,尽管也可采用更小和更大的掺杂剂浓度。掺杂材料层52随后被去除。在可替换的实施例中,可通过等离子体掺杂而不采用掺杂材料层52形成外部电极60。
参见图12,节点电介质70形成在第一示范性结构所有暴露表面上,该暴露表面包括外部电极60的内部侧壁和电介质衬垫30的暴露表面,外部电极60的内部侧壁为第二贯穿基板空腔67的表面。节点电介质70直接形成在掺杂管状部分的侧壁上,而可移除材料存在于半导体基板中。节点电介质70的厚度可为3nm至30nm,尽管也可采用更小或更大的厚度。
参见图13,以第二可移除材料填充第二贯穿基板空腔67以形成第二可移除材料层77L。第二可移除材料层77L延伸穿过半导体基板10并且覆盖半导体基板10的两侧,从而包封半导体基板10。第二可移除材料例如可为诸如多晶硅的含多晶硅的材料或诸如非晶硅的含非晶硅的材料。
参见图14,从半导体基板10的前侧和后侧去除第二可移除材料层77L,例如通过回蚀刻工艺或化学机械抛光(CMP)。第二可移除材料层77L的剩余部分构成第二可移除材料部分77。第二可移除材料部分77的顶表面可与半导体基板20的前侧上的节点电介质70的顶表面共平面。
硬掩模层72形成在半导体基板20的一侧上,其优选为电介质帽部分50位于其上的半导体基板的前侧。硬掩模层72包括电介质材料,诸如氧化硅、氮化硅、掺杂硅酸盐玻璃或其组合。硬掩模层72的厚度可为500nm至5,000nm,并且典型地为1,000nm至3,000nm,尽管也可采用更小或更大的厚度。
参见图15,硬掩模层72被光刻图案化以形成第二可移除材料部分77上方的开口和第一可移除材料部分49上方的开口。电介质帽部分50被去除以暴露第一可移除材料部分49的上表面。第二可移除材料部分77的上部可在去除电介质帽部分50期间被去除。
参见图16,第一可移除材料部分49的第一电介质材料和第二电介质材料部分77的第二电介质材料通过采用硬掩模层72作为蚀刻掩模的蚀刻去除。去除第一可移除材料部分49形成空腔,该空腔在体积上对应于前面的工艺步骤中的第一贯穿基板空腔47。此空腔这里称为再形成的第一贯穿基板空腔79,即第二次形成的第一贯穿基板空腔。同样,去除第二可移除材料部分77形成空腔,该空腔在体积上对应于前面的工艺步骤中的第二贯穿基板空腔67。此空腔这里称为再形成的第二贯穿基板空腔78,即第二次形成的第二贯穿基板空腔。再形成的第一贯穿基板空腔79形成在电介质管状结构20内。节点电介质70的表面暴露于再形成的第二贯穿基板空腔78周围,而电介质衬垫30的表面可暴露于再形成的第一贯穿基板空腔79周围。如果电介质衬垫30不存在,则电介质管状结构20的内表面可暴露于再形成的第一贯穿基板空腔79中。
参见图17,以导电材料填充再形成的第一贯穿基板空腔79和再形成的第二贯穿基板空腔78以分别形成第一导电贯穿基板通路(TSV)结构80和第二导电TSV结构82。第一导电TSV结构80和第二导电TSV结构82的导电材料可包括掺杂半导体材料、金属材料或其组合。第一导电TSV结构80和第二导电TSV结构82的导电材料可包括但不限于掺杂的多晶硅、掺杂的含硅合金、Cu、W、Ta、Ti、WN、TaN、TiN或其组合。导电材料例如可通过电镀、无电镀覆、物理气相沉积(PVD)、化学气相沉积(CVD)或其组合而沉积。
在沉积导电材料之后,通过采用回蚀刻工艺、化学机械抛光或其组合的平坦化,从半导体基板10的顶侧和底侧去除多余的导电材料。第一导电TSV结构80和第二导电TSV结构82的顶表面与硬掩模层72的顶表面共平面。导电TSV结构80和第二导电TSV结构82的底表面与第一示范性结构的其余部分的底表面共面。第一示范性结构的其余部分的底表面例如可为节点电介质70的暴露表面(如果节点电介质70的底部部分在平坦化后保留)或者第一示范性结构的底部的任何其它暴露的表面。第一导电TSV结构80和第二导电TSV结构82通过采用相同的沉积工艺和相同的平坦化工艺而同时形成。
参见图18,形成穿过硬掩模层72、节点电介质70和电介质衬垫30的沟槽并且用诸如掺杂半导体材料或金属材料的导电材料填充该沟槽而形成接触结构90。接触结构90通过掺杂阱区域12导电连接到外部电极60。第一导电TSV结构80、节点电介质70和外部电极60共同构成电容器180,其中第一导电TSV结构80是内部电极。第二导电TSV结构82、电介质衬垫接触第二导电TSV结构82的部分以及电介质管状结构20共同构成横向绝缘的导电贯穿基板连接结构182。第一导电TSV结构80的端表面、第二导电TSV结构82的端表面以及接触结构90的端表面可与硬掩模层72的暴露表面共平面。
第一示范性结构可并入半导体芯片中。例如,电容器180的多个示例和横向绝缘的导电贯穿基板连接结构182的多个示例可埋入半导体芯片的相同半导体基板10中。半导体芯片可包括或可不包括其它半导体器件,例如,场效晶体管、双极晶体管、闸流晶体管和二极管。
每个电容器180可包括内部电极、节点电介质70和外部电极60,内部电极包括第一导电贯穿基板通路(TSV)结构80。内部电极至少从半导体基板10的上表面到半导体基板10的下表面连续延伸。节点电介质70横向接触且横向围绕内部电极。节点电介质70从上表面到下表面连续延伸。外部电极60横向接触且横向围绕节点电介质70的一部分。外部电极60包括掺杂半导体材料。
横向绝缘的导电贯穿基板连接结构182包括位于半导体基板10中的第二导电TSV结构82和横向围绕第二导电TSV结构82且埋入半导体基板10中的电介质管状结构20。横向绝缘的导电贯穿基板连接结构182可包括电介质衬垫30的一部分。
参见图19,根据本发明第二实施例的第二示范性结构包括封装基板200、多个第一半导体芯片100、多个第二半导体芯片300、第一焊料球阵列199以及第二焊料球阵列299,第一焊料球阵列199电连接第一半导体芯片100的每一个到封装基板200,第二焊料球阵列299电连接第二半导体芯片300的每一个到第一半导体芯片100。第一半导体芯片100的每一个都包括至少一个电容器180和至少一个横向绝缘的导电贯穿基板连接结构182。第一半导体芯片100可包括或可不包括附加半导体器件,例如,场效晶体管、双极晶体管、闸流晶体管和二极管。第二半导体芯片300可包括任何类型的半导体器件。
电容器180可用作在电源系统中减小噪声的去耦电容器,该电源系统对第二半导体芯片300中的器件提供电源,并且如果存在,对第一半导体芯片100中的器件提供电源。每个电容器180可提供1pF至10nF数量级的电容,这相当于40-400000个典型沟槽电容器的电容。此外,与提供可比的总电容的沟槽电容器阵列相比,电容器180提供更低的电感系数。因此,尤其在高频操作期间,电容器180减小了电源系统中的噪声。
参见图20,根据本发明第三实施例的第三示范性结构包括封装基板200、插入结构400、多个第一半导体芯片100和多个第二半导体芯片300。第一焊料球阵列199电连接第一半导体芯片100的每一个到插入结构400。第二焊料球阵列299电连接第二半导体芯片300的每一个到第一半导体芯片100。第三焊料球阵列399连接插入结构400到封装基板200。
插入结构400可包括插入结构基板层410、下电介质材料层420和上电介质材料层430。插入结构基板层410包括以竖线示意性示出的多个贯穿基板通路结构。多个贯穿基板通路结构包括多个电容器180(见图18)和横向绝缘的导电贯穿基板连接结构182(见图18)。下电介质材料层420和上电介质材料层430可包括金属线,金属线在下电介质材料层420或上电介质材料层430内提供电布线。
通常,包括至少一个电容器180和至少一个横向绝缘的导电贯穿基板连接结构182的半导体芯片可被安装一安装结构,安装结构可为半导体芯片以电连接可安装到其上的任何结构。安装结构可为但不限于封装基板200、插入结构400、插入结构400与封装基板200的组件或诸如第二半导体芯片300的另一半导体芯片。
参见图21,曲线图示出了根据本发明实施例的示范性结构提供的高频下噪声减小的模拟结果。水平轴表示电源系统中噪声分量的频率,并且竖直轴表示包括根据本发明实施例的电容器180(见图18)或者包括根据现有技术的沟槽电容器阵列的去耦系统的等效阻抗。电源系统中的电噪声与等效阻抗成正比。标有“TSV w/582pF”的曲线表示具有582pF电容且根据本发明实施例构造的(例如如图18所示的)电容器180的等效阻抗。标有“DTC w/582pF”、“2nF”和“4nF”的曲线分别表示具有582pF、2nF和4nF总电容的沟槽电容器阵列的等效阻抗。
在0.1GHz下的频率范围,电源系统中的电压噪声由去耦电容器系统的总电容限制。然而,在1GHz之上,采用任何沟槽电容器阵列的去耦电容器系统中的电压噪声随着与去耦电容器系统的总电容无关的收敛曲线(converging curve)的频率而增加,这是因为去耦电容器系统的电感占优势。采用本发明实施例的电容器180的去耦电容器系统在1.2GHz以上的频率(除了4GHz和4.5GHz之间的小频率范围之外)提供较低的电压噪声,这是因为电容器180具有低的电感系数。因此,采用本发明实施例的电容器180的去耦电容器系统在噪声减小上提供优越的性能,而占据较小的器件区域。在第二或第三示范性结构中,如果第一半导体芯片100不包括半导体器件,则电容器180可不需要第三半导体芯片300中的任何区域而形成。在第三示范性结构中,与具有可比总电容的沟槽电容器阵列相比,电容器180可形成在较小的区域中,因此可为包括在第一半导体芯片100中的其它半导体器件提供更大区域。
尽管本发明已经相对于其优选实施例进行了具体示例和描述,但是本领域的技术人员可理解,在不脱离本发明的精神和范围的情况下,可作出形式和细节上的前述和其它改变。因此,本发明不旨在限于所描述和所示出的精确形式,而是旨在落入所附权利要求的范围内。

Claims (8)

1.一种形成半导体结构的方法,所述方法包括在半导体基板(10)中形成电容器(180)和横向绝缘的导电贯穿基板连接结构(182),其中
所述横向绝缘的导电贯穿基板连接结构(182)如下形成:
围绕形成于所述半导体基板(10)中的第一贯穿基板空腔(47)形成电介质管状结构(20);
以可移除材料填充所述第一贯穿基板空腔(47);以及
去除所述可移除材料,以在所述电介质管状结构(20)内形成所述空腔;以及
以导电材料填充所述电介质管状结构(20)内的空腔,并且其中所述电容器(180)如下形成:
通过掺杂围绕第二贯穿基板空腔(67)的所述半导体基板(10)的一部分而形成外部电极(60);
在所述第二贯穿基板空腔(67)的表面上形成节点电介质(70);以及
通过以所述导电材料填充所述第二贯穿基板空腔(67)而形成内部电极。
2.如权利要求1所述的方法,其中所述内部电极构成第一导电贯穿基板通路(TSV)结构(80),第二导电TSV结构(82)形成在由所述导电材料填充的所述空腔中,并且所述第一导电TSV结构(80)和所述第二导电TSV结构(82)同时形成。
3.如权利要求2所述的方法,还包括当所述可移除材料存在于所述半导体基板(10)中时,直接在所述掺杂的围绕第二贯穿基板空腔(67)的所述半导体基板(10)的一部分上形成所述节点电介质(70)。
4.如权利要求2所述的方法,还包括:
在形成所述外部电极(60)之后用第二可移除材料填充所述第二贯穿基板空腔(67);
在所述半导体基板(10)的一侧上形成硬掩模层(72);以及
采用所述硬掩模层(72)作为蚀刻掩模去除所述可移除材料和所述第二可移除材料。
5.一种形成半导体结构的方法,包括:
提供半导体芯片,该半导体芯片包括:
半导体基板(10);
至少一个电容器(180),埋入所述半导体基板(10)中,所述至少一个电容器(180)包括内部电极,该内部电极包括导电贯穿基板通路(TSV)结构(80);以及
至少一个横向绝缘的导电贯穿基板连接结构(182),其中所述至少一个横向绝缘的导电贯穿基板连接结构(182)如下形成:
围绕形成于所述半导体基板(10)中的第一贯穿基板空腔(47)形成电介质管状结构(20);
以可移除材料填充所述第一贯穿基板空腔(47);以及
去除所述可移除材料,以在所述电介质管状结构(20)内形成所述空腔;以及
以导电材料填充所述电介质管状结构(20)内的空腔;以及采用焊料球阵列电连接所述半导体芯片到安装结构。
6.如权利要求5所述的方法,其中所述阵列当中的第一焊料球(199)电连接到所述内部电极和所述安装结构上的第一导电结构,并且所述阵列当中的第二焊料球(299)电连接到所述至少一个横向绝缘的导电贯穿基板连接结构(182)内的导电TSV结构和所述安装结构上的第二导电结构。
7.如权利要求5所述的方法,其中所述安装结构选自封装基板(200)、插入结构(400)和另一半导体芯片。
8.如权利要求5所述的方法,其中所述至少一个电容器(180)的每一个都包括节点电介质(70)和外部电极(60),所述节点电介质(70)横向接触且横向围绕所述内部电极,所述外部电极(60)横向接触且横向围绕所述节点电介质(70)的一部分,并且所述至少一个横向绝缘的导电贯穿基板连接结构(182)的每一个都包括导电TSV结构(80)和电介质管状结构(20)。
CN201080050627.8A 2009-11-09 2010-11-09 采用导电贯穿基板通路的集成去耦电容器 Active CN102598263B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/614,883 US8558345B2 (en) 2009-11-09 2009-11-09 Integrated decoupling capacitor employing conductive through-substrate vias
US12/614,883 2009-11-09
PCT/US2010/055949 WO2011057238A2 (en) 2009-11-09 2010-11-09 Integrated decoupling capacitor employing conductive through-substrate vias

Publications (2)

Publication Number Publication Date
CN102598263A CN102598263A (zh) 2012-07-18
CN102598263B true CN102598263B (zh) 2015-03-11

Family

ID=43970828

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080050627.8A Active CN102598263B (zh) 2009-11-09 2010-11-09 采用导电贯穿基板通路的集成去耦电容器

Country Status (5)

Country Link
US (2) US8558345B2 (zh)
CN (1) CN102598263B (zh)
DE (1) DE112010004326B4 (zh)
GB (1) GB2488078B (zh)
WO (1) WO2011057238A2 (zh)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8786066B2 (en) 2010-09-24 2014-07-22 Intel Corporation Die-stacking using through-silicon vias on bumpless build-up layer substrates including embedded-dice, and processes of forming same
US8216936B1 (en) * 2010-10-21 2012-07-10 Xilinx, Inc. Low capacitance electrical connection via
US8766409B2 (en) * 2011-06-24 2014-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for through-silicon via (TSV) with diffused isolation well
CN102856303B (zh) * 2011-06-27 2015-07-22 成都锐华光电技术有限责任公司 一种半导体芯片
US8546953B2 (en) * 2011-12-13 2013-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via (TSV) isolation structures for noise reduction in 3D integrated circuit
US8779849B2 (en) 2012-01-27 2014-07-15 Micron Technology, Inc. Apparatuses and methods for providing capacitance in a multi-chip module
US8767408B2 (en) * 2012-02-08 2014-07-01 Apple Inc. Three dimensional passive multi-component structures
US8697567B2 (en) 2012-05-22 2014-04-15 International Business Machines Corporation Implementing decoupling devices inside a TSV DRAM stack
US8519543B1 (en) * 2012-07-17 2013-08-27 Futurewei Technologies, Inc. Large sized silicon interposers overcoming the reticle area limitations
EP2688092A1 (en) * 2012-07-19 2014-01-22 Ipdia Semiconductor die with a through silicon via and corresponding manufacturing process
TWI497661B (zh) 2012-08-15 2015-08-21 Ind Tech Res Inst 半導體基板
US9343393B2 (en) 2012-08-15 2016-05-17 Industrial Technology Research Institute Semiconductor substrate assembly with embedded resistance element
US9213386B2 (en) 2012-10-22 2015-12-15 Micron Technology, Inc. Apparatuses and methods and for providing power responsive to a power loss
WO2014070763A1 (en) 2012-10-30 2014-05-08 Anayas360.Com, Llc Compact and low-power millimeter-wave integrated vco-up/down- converter with gain-boosting
US9196671B2 (en) 2012-11-02 2015-11-24 International Business Machines Corporation Integrated decoupling capacitor utilizing through-silicon via
US9379202B2 (en) * 2012-11-12 2016-06-28 Nvidia Corporation Decoupling capacitors for interposers
US20140162575A1 (en) * 2012-12-07 2014-06-12 Anayas360.Com, Llc Highly integrated millimeter-wave soc layout techniques for improved performance and modeling accuracy
TWI518864B (zh) * 2012-12-26 2016-01-21 財團法人工業技術研究院 變容器
US9653615B2 (en) 2013-03-13 2017-05-16 International Business Machines Corporation Hybrid ETSOI structure to minimize noise coupling from TSV
US9595526B2 (en) 2013-08-09 2017-03-14 Apple Inc. Multi-die fine grain integrated voltage regulation
US9202785B2 (en) * 2013-11-08 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit capacitor having vias
US9202866B2 (en) * 2014-01-08 2015-12-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
DE102014105188A1 (de) * 2014-04-11 2015-10-15 Osram Opto Semiconductors Gmbh Halbleiterchip, optoelektronisches Bauelement mit Halbleiterchip und Verfahren zur Herstellung eines Halbleiterchips
US9412806B2 (en) 2014-06-13 2016-08-09 Invensas Corporation Making multilayer 3D capacitors using arrays of upstanding rods or ridges
US10468381B2 (en) 2014-09-29 2019-11-05 Apple Inc. Wafer level integration of passive devices
US9548288B1 (en) * 2014-12-22 2017-01-17 Apple Inc. Integrated circuit die decoupling system with reduced inductance
US9287348B1 (en) * 2015-04-14 2016-03-15 Honeywell International Inc. Devices, systems, and methods for ion trapping
EP3050843B1 (en) * 2015-01-30 2017-10-25 Honeywell International Inc. Device for ion trapping
US9397038B1 (en) 2015-02-27 2016-07-19 Invensas Corporation Microelectronic components with features wrapping around protrusions of conductive vias protruding from through-holes passing through substrates
US9455189B1 (en) 2015-06-14 2016-09-27 Darryl G. Walker Package including a plurality of stacked semiconductor devices including a capacitance enhanced through via and method of manufacture
KR20180058757A (ko) 2015-09-23 2018-06-01 난양 테크놀러지컬 유니버시티 반도체 장치 및 반도체 장치 형성 방법
EP3174094B1 (en) 2015-11-25 2018-09-26 IMEC vzw Integrated circuit comprising a metal-insulator-metal capacitor and fabrication method thereof
US9807867B2 (en) * 2016-02-04 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of manufacturing the same
US9872392B2 (en) 2016-06-08 2018-01-16 International Business Machines Corporation Power decoupling attachment
CN108987374B (zh) * 2018-06-22 2020-06-26 西安理工大学 一种基于tsv和rdl的三维电容器
CN110010588B (zh) * 2019-02-18 2020-09-22 西安电子科技大学 一种基于同轴硅通孔阵列的互补型三维宽带电容器
CN112466845B (zh) * 2020-11-24 2023-08-22 复旦大学 一种硅通孔结构及其制备方法
KR20220122889A (ko) 2021-02-26 2022-09-05 삼성전자주식회사 반도체 소자

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1417856A (zh) * 2001-11-07 2003-05-14 新光电气工业株式会社 半导体封装及其制造方法
CN1507046A (zh) * 2002-12-09 2004-06-23 国际商业机器公司 高密度芯片载体及其构成方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01216591A (ja) 1988-02-25 1989-08-30 Canon Inc プリント基板
JPH03252193A (ja) 1990-03-01 1991-11-11 Matsushita Electric Ind Co Ltd 配線基板
DE4418430C1 (de) * 1994-05-26 1995-05-11 Siemens Ag Verfahren zur Herstellung eines Siliziumkondensators
JPH0897375A (ja) * 1994-07-26 1996-04-12 Toshiba Corp マイクロ波集積回路装置及びその製造方法
JPH10163632A (ja) 1996-11-26 1998-06-19 Sony Corp プリント配線板及びその製造方法
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6221769B1 (en) * 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
JP3503109B2 (ja) 1999-04-20 2004-03-02 清水建設株式会社 フレッシュコンクリートの塩化物含有量測定方法
US6565730B2 (en) * 1999-12-29 2003-05-20 Intel Corporation Self-aligned coaxial via capacitors
US6525922B2 (en) * 2000-12-29 2003-02-25 Intel Corporation High performance via capacitor and method for manufacturing same
US6498381B2 (en) * 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6737699B2 (en) * 2002-06-27 2004-05-18 Intel Corporation Enhanced on-chip decoupling capacitors and method of making same
US7880305B2 (en) * 2002-11-07 2011-02-01 International Business Machines Corporation Technology for fabrication of packaging interface substrate wafers with fully metallized vias through the substrate wafer
US6989561B2 (en) * 2003-12-02 2006-01-24 Nanya Technology Corp. Trench capacitor structure
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
JP4795677B2 (ja) * 2004-12-02 2011-10-19 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体モジュール、ならびに半導体装置の製造方法
US7705691B2 (en) * 2005-10-18 2010-04-27 Agency For Science, Technology & Research Capacitor interconnection
US20080113505A1 (en) * 2006-11-13 2008-05-15 Sparks Terry G Method of forming a through-substrate via
KR100806034B1 (ko) * 2006-12-05 2008-02-26 동부일렉트로닉스 주식회사 Mim 캐패시터를 가지는 반도체 소자 및 그 제조방법
US7719079B2 (en) * 2007-01-18 2010-05-18 International Business Machines Corporation Chip carrier substrate capacitor and method for fabrication thereof
US7782629B2 (en) * 2007-02-26 2010-08-24 Flextronics Ap, Llc Embedding an electronic component between surfaces of a printed circuit board
US8227847B2 (en) * 2008-02-20 2012-07-24 Nxp B.V. Ultra high density capacity comprising pillar-shaped capacitors formed on both sides of a substrate
US20090212438A1 (en) * 2008-02-26 2009-08-27 Franz Kreupl Integrated circuit device comprising conductive vias and method of making the same
US8294240B2 (en) * 2009-06-08 2012-10-23 Qualcomm Incorporated Through silicon via with embedded decoupling capacitor
US8497564B2 (en) * 2009-08-13 2013-07-30 Broadcom Corporation Method for fabricating a decoupling composite capacitor in a wafer and related structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1417856A (zh) * 2001-11-07 2003-05-14 新光电气工业株式会社 半导体封装及其制造方法
CN1507046A (zh) * 2002-12-09 2004-06-23 国际商业机器公司 高密度芯片载体及其构成方法

Also Published As

Publication number Publication date
WO2011057238A2 (en) 2011-05-12
US8785289B2 (en) 2014-07-22
GB201209593D0 (en) 2012-07-11
US20110108948A1 (en) 2011-05-12
CN102598263A (zh) 2012-07-18
GB2488078A (en) 2012-08-15
US8558345B2 (en) 2013-10-15
GB2488078B (en) 2014-02-26
DE112010004326B4 (de) 2017-09-21
US20130344675A1 (en) 2013-12-26
DE112010004326T5 (de) 2012-08-23
WO2011057238A3 (en) 2011-08-18

Similar Documents

Publication Publication Date Title
CN102598263B (zh) 采用导电贯穿基板通路的集成去耦电容器
US8822337B2 (en) Two-sided semiconductor structure
CN101410969B (zh) 具有高q晶片背面电容器的半导体集成电路器件
US8361875B2 (en) Deep trench capacitor on backside of a semiconductor substrate
JP5830212B2 (ja) 3次元集積のための裏側ダミー・プラグを含む半導体構造およびこれを製造する方法
JP4222929B2 (ja) チップ・キャリア
US5479048A (en) Integrated circuit chip supported by a handle wafer and provided with means to maintain the handle wafer potential at a desired level
CN102301465B (zh) 贯穿衬底的通路
KR100791339B1 (ko) 평탄화 저항 패턴을 포함하는 복합칩 반도체 소자 및 그제조 방법
US20070166997A1 (en) Semiconductor devices and methods of manufacture thereof
US9953857B2 (en) Semiconductor device with buried local interconnects
KR101791730B1 (ko) 반도체 구조 및 그 제조 방법
JP2011530810A (ja) ウェハ貫通ビアおよびこれを作成する方法
JP2002270697A (ja) 電子構造体およびその製造方法
JPWO2005086216A1 (ja) 半導体素子及び半導体素子の製造方法
JP6180428B2 (ja) インターポーザデバイス
TW202145526A (zh) 用於形成三維記憶體元件的方法
KR20140046698A (ko) 반도체 장치 및 그의 제조 방법
CN111508963B (zh) 一种外围电路、三维存储器及其制备方法
US9153638B2 (en) Integrated decoupling capacitor utilizing through-silicon via
TW202226544A (zh) 三維記憶體元件的接觸焊墊及其製造方法
KR20110049893A (ko) 쓰루 홀 비아에 이용되는 탄소계 물질을 포함하는 반도체 장치
CN102751172A (zh) 集成无源器件及其制作方法
EP2648214B1 (en) Methods of producing a semiconductor device with a through-substrate via
US20240145344A1 (en) Via structure and method for forming the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20200226

Address after: California, USA

Patentee after: Tessera, Inc.

Address before: Armank, New York, USA

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right