CN102484053B - 均匀高k金属闸极堆栈藉由在闸极图案化之前扩散金属成分调整精密晶体管的临限电压 - Google Patents

均匀高k金属闸极堆栈藉由在闸极图案化之前扩散金属成分调整精密晶体管的临限电压 Download PDF

Info

Publication number
CN102484053B
CN102484053B CN201080037523.3A CN201080037523A CN102484053B CN 102484053 B CN102484053 B CN 102484053B CN 201080037523 A CN201080037523 A CN 201080037523A CN 102484053 B CN102484053 B CN 102484053B
Authority
CN
China
Prior art keywords
metal
gate electrode
dielectric material
active area
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201080037523.3A
Other languages
English (en)
Other versions
CN102484053A (zh
Inventor
R·卡特
F·格瑞萨奇
M·特伦萨奇
S·拜尔
B·雷默
R·班德尔
B·巴亚哈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN102484053A publication Critical patent/CN102484053A/zh
Application granted granted Critical
Publication of CN102484053B publication Critical patent/CN102484053B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供一种用于N信道晶体管与P信道晶体管的精密闸极电极结构(235A,234B),其系基于实质上相同的组构而图案化,但是同时可于初期制造阶段中完成功函数调整。为此目的,在将所欲的功函数金属成分合并进入该高k介电材料(212)之后,移除扩散层与罩盖层材料,并且随后沉积共同闸极层堆栈,并且随后图案化该共同闸极层堆栈。

Description

均匀高K金属闸极堆栈藉由在闸极图案化之前扩散金属成分调整精密晶体管的临限电压
技术领域
一般而言,本发明系关于制造包含先进晶体管组件的机密集成电路,该晶体管组件包含复杂的闸极电极结构,该闸极电及结构包含精密闸极介电材料(如高k闸极介电材料)及含金属电极材料。
背景技术
制造先进的集成电路(如CPU、储存器件、特殊应用集成电路(ASIC)等)必须根据特定电路布局在给定的芯片面积上形成大量的电路组件。在各式各样的电子电路中,场效晶体管代表一种很重要的电路组件类型,其实质上决定集成电路的效能。一般而言,目前用于形成场效晶体管的复数种制程技术,其中,有鉴于操作速度及/或功率消耗及/或成本效益上的优越特性,使得对于许多种类的复杂电路系统而言,MOS技术系目前最具有前景的其中一种方法。利用例如MOS技术制造复杂集成电路的期间,于包含结晶半导体层的基板上形成有数百万个晶体管(例如:N信道晶体管及/或P信道晶体管)。场效晶体管(无论考量N信道晶体管或P信道晶体管)典型上包括所谓的PN接面,该PN接面系由高掺杂区域(称为汲极与源极区域)与配置于该等高掺杂区域之间的轻掺杂区域或未掺杂区域(如信道区域)之接口所形成。在场效晶体管中,该信道区域的导电性(亦即,该导电信道的电流驱动能力)系由形成于该信道区域附近且藉由薄绝缘层与该信道区域隔离之闸极电极所控制。该信道区域的导电性(由于施加适当控制电压至该闸极电极而形成导电信道)取决于掺杂物浓度、电荷载体之迁移率,而对于晶体管中给定的信道区域延伸而言,该信道区域之导电率取决于该源极区域与该汲极区域之间的距离(也称为”信道长度”)。
因此,结合在施加控制电压至该闸极电极后于该绝缘层下方快速产生导电信道之能力,该信道区域之导电性实质地影响MOS晶体管之效能。因此,当产生信道之速度(取决于该闸极电极之导电性)与信道电阻率实质上决定该晶体管特性时,信道长度之微缩化及与其相关联的信道电阻率降低(由于缩减尺寸造成闸极电阻率增加)系用以加快集成电路操作速度之支配性设计准则。
目前,由于硅具有能够近乎无限制地取得、经良好认知的特性以及相关材料与制程、以及过去50多年来所累积的经验,故大多数复杂的集成电路系以硅为基础。因此,硅很可能仍是未来的电路世代所采用之量产材料选项。硅对于半导体器件制造所扮演的支配性角色在于硅/二氧化硅接口能够使得不同区域互相可靠地电性绝缘之优异特性。该硅/二氧化硅接口于高温下系稳定的,因此如果需要的话,则能够实施后续的高温制程,例如,在退火循环期间激活掺杂物且修复晶体损伤而不会牺牲该接口之电性特性。
对于以上所指出之理由,二氧化硅宜用作场效晶体管的闸极绝缘层的基底材料,以分隔该闸极电极(经常由多晶硅及含金属材料所组成)与硅信道区域。为了不断改善场效晶体管之器件效能,该信道区域之长度持续地缩短以改善切换速度和电流驱动能力。由于该晶体管的效能系藉由施加于该闸极电极的电压所控制以将该信道区域之表面转换至足够高的电荷密度并用以对于给定之电源电压提供所欲之驱动电流,所以必须维持某种程度的电容耦合(藉由该闸极电极、该信道区域及配置于其间的二氧化硅所形成的电容器提供)。这证明了缩短该信道长度必须增加电容耦合以避免于晶体管运作期间发生所谓的短信道行为(short channel behavior)。短信道行为可能导致漏电流(1eakage current)的增加,并导致该临限电压(threshold voltage)将非常明显地取决于该信道长度。大幅度微缩化之晶体管具有相对较低的电源电压,并因此得到更低的临限电压,在面对漏电流以指数上升的同时也必须增加该闸极电极至该信道区之电容耦合。因此,该二氧化硅层的厚度必须对应地降低以提供该闸极与该信道区域之间所需之电容值。举例而言,大约80奈米(μm)的信道长度需要厚度大约1.2奈米(nm)的闸极介电材料(由二氧化硅所制成)。虽然一般而言具有极短信道之高速晶体管组件宜用作高速之应用,而具有长信道的晶体管组件可用于较不关键之应用(如储存晶体管组件),但是对于厚度介于1至2奈米范围的氧化物而言,即便仅有速度关键路径中的晶体管系基于极薄闸极氧化物形成,电荷载体直接穿隧(direct tunneling)通过极薄二氧化硅闸极绝缘层所造成的相对高漏电流数值可能不符合效能驱动电路(performance driven circuit)的需求。
因此,已经提出各种用于增进该二氧化硅材料的介电强度及有效介电常数之测量,如为了并入某数量的氮而实施以氮为基础的处理。尽管这些基底氧化材料的处理提供了明显的改善,但是晶体管尺寸的进一步微缩可能需要更进一步的精密方法。基于此目的,已经考虑取代作为闸极绝缘层材料之二氧化硅,尤其是对于以极薄二氧化硅为基础的闸极层而言。可能的替代材料包含显现出明显较高介电常数的材料,使得极薄的二氧化硅基层能够得到实际上由较厚相应闸极绝缘层所提供的电容耦合。因此,建议以高介电常数材料(如五氧化二钽(Ta2O5,k值大约25)、钛酸锶(SrTiO3,k值大约150)、氧化铪(HfO2)、氧化硅铪(HfSiO)、氧化锆(ZrO2)与类似材料)取代二氧化硅。
此外,由于多晶硅可能于闸极介电材料的接口附近遭遇电荷载体空乏(charge carrier depletion),进而降低该信道区域与该闸极电极之间的有效电容,故可藉由提供适当之导电材料作为闸极电极以取代常用之多晶硅材料来增进晶体管效能。因此,建议于闸极堆栈中之高k值介电材料基于与二氧化硅层相同或更厚厚度提供更高的电容值,同时额外维持漏电流于可接受之水准。另一方面,可形成非多晶硅材料(如氮化钛及类似材料),以便连接至该高k介电材料,进而实质地避免空乏区(depletion zone)的出现。
由于精密晶体管组件的临限电压强烈地取决于该闸极电极材料的功函数,该功函数明显由该介电闸极材料所决定,故为了分别得到P信道晶体管与N信道晶体管所欲的功函数数值,典型上必须实施电子特性的适当调适。为此目的,典型上,可于该闸极介电材料附近设置适当的金属成分,且可于任何适当制造阶段扩散向及扩散进入该闸极介电材料,以便得到所欲得到的功函数数值。因此,可能必须于该闸极介电材料附近放置不同的金属成分,如此一来,通常可能造成非常复杂的制造序列。举例而言,在一些习知方法中,由于P信道晶体管与N信道晶体管的闸极电极中的复数层扩散与罩盖层可能必须设置不同的组成成分,且可于高温退火制程期间开始所需的扩散,该高温退火制程典型上施加用以激活该汲极与源极区域中的掺杂物且将由布植所诱发的损伤再结晶,故功函数的调整及实际电极材料的形成系于初期制造阶段中完成(也就是说,在图案化该闸极电极结构之后),可能造成非常复杂的闸极层堆栈。在其它习知方法中,可藉由省略该闸极电极中的任何扩散与罩盖层基于明显较不复杂的闸极层堆栈而实施制程,藉此对N信道电晶与P信道晶体管提供实质上均匀的制程序列,其中,然而,在非常先进的制造阶段中,必须移除并藉由适当的功函数金属与电极金属取代相应的占位(placeholder)材料(如多晶硅),对于P信道晶体管与N信道晶体管而言,需要不同的功函数金属与电极金属,进而在先进的制造阶段中亦需要非常复杂的制程序列。因此,于初期制造阶段(亦即,在设置高k介电材料之后)以所谓取代闸极方法省略该扩散层与罩盖层,系与非常先进的制造阶段中的非常复杂的制程序列有关,同时,也可能在用于曝露占位材料、移除该占位材料及形成不同类型功函数与含金属电极材料的制程序列期间引进显著的不规则性与晶体管可变动性。另一方面,于初期制造阶段调整功函数可能造成非常复杂且不同结构的闸极电极,如同将参照第1图所说明。
第1图示意地描绘包括基板101的半导体器件100之剖面图,于该基板101上方形成有半导体层102,于该半导体层102中设置有例如由隔离结构(未显示)所局限的第一主动区域102A与第二主动区域102B。典型上,该半导体层102代表硅材料,在所示的制造阶段中,为了定义基本的晶体管特性(如导电类型等),该硅材料可包括适当的掺杂物成分。再者,为了提供额外的价带偏移(valence band offset)以得到所欲的临限结合欲调整的闸极电极结构135A功函数,该第一主动区域102A(代表P信道晶体管)可额外地包括(至少部份地,于该基本主动区域102A上方)临限调整半导体合金102D(例如:具有硅/锗材料的形式)。该闸极电极结构135A包括闸极介电材料110,可包括″习知具氧化硅基材料形式的″闸极介电材料111(如氮氧化硅及类似材料),伴随亦如先前所述的高k介电材料112(如二氧化铪(hafnium oxide)、铪硅氧化物(hafnium silicon oxide)及类似材料)。此外,该闸极电极结构135A包括复数个罩盖与扩散层(如氮化钛层122与扩散层123),该罩盖与扩散层可包括适当的金属成分(如铝),伴随其它罩盖层,亦即,氮化钛层121。此外,进一步的扩散层126(如镧层)系结合氮化钛罩盖层127而设置,其中,该层126,127可代表欲形成于该第二主动区域102B中及上方的N信道晶体管的闸极电极结构135B所需的材料。最终,该闸极电极结构135A可包括非晶硅或多晶硅材料114与115,取决于整体制程策略。同样地,该闸极电极结构135B可包括闸极介电材料111与112,伴随该扩散层126(亦即,该镧层),结合该氮化钛层127,伴随该硅层114与115。因此,由于复数个罩盖层与扩散层,造成该闸极电极结构135A,135B可能具有由先前制程所造成的相当不同的组构。也就是说,典型上,该闸极介电材料110系藉由氧化与沉积技术结合其它任何适当的表面处理及类似技术而设置,伴随沉积包括层123、122及121的层系统,该层系统随后将藉由(设置蚀刻屏蔽并选择性地移除相对于闸极介电材料110的材料)自该第二主动区域102B上方选择性地移除。在那之后,沉积有层126与127,接着沉积硅材料114与115。在那之后,必须基于精密的微影与蚀刻技术实施非常复杂的图案化序列,其中,该闸极电极结构135A,135B在高度与组构上可能有所不同,因而造成制程与器件变动性的增加。举例而言,在具有闸极长度(亦即,第1图中该闸极电极结构135A,135B的40奈米及更短之水平延伸)的半导体器件中,取决于相应的制程处理,可于该闸极电极结构135A的脚边观察到材料残留,同时该结构135B可能受到额外下切(undercut)。因此,继续进行用于完成该主动区域102A,102B中的晶体管之进一步制程,可能进一步增加与制程相关的不规则性。最终,在形成汲极与源极区域之后,为了得到所欲的功函数,后续的退火制程可能造成该层123的金属成分经由该罩盖层123向该闸极介电材料110扩散,同时,在该闸极电极结构135B中,该层126中的镧成分可扩散进入该介电材料110。
尽管参照第1图所描述的制程策略原则上可能造成精密的晶体管组件,但是事实证明就量产而言,与制程相关的不规则性可能造成良率的显著降低,进而使得此方法较不理想。
本发明所揭露的内容系关于能够避免或至少降低上述问题的其中一者或多者所造成的影响之各种方法与器件。
发明内容
为了对本发明的一些态样提供基本的了解,以下提供本发明的简短概要。此概要并非本发明的详尽概观。此概要并非意图确认本发明的主要或关键组件,或者局限本发明的范畴。其唯一的目的在于以简化的形式呈现一些概念,作为稍后详细说明书内容的序言。
一般而言,本发明提供半导体技术与器件,其中,可基于适当的扩散与罩盖层调整不同导电类型(conductivity type)之晶体管之功函数及临限(threshold),该罩盖层可在设置含金属电极材料之前被移除,接着可在共同制程(common process)中对两种类似的闸极电极结构皆施加含金属电极材料。因此,对于N信道晶体管与P信道晶体管的闸极电极结构而言可得到相同的组构,进而显著地降低该闸极图案化制程的复杂度。为此目的,可在沉积该电极材料之前基于该罩盖与扩散层实施退火制程,且可基于适当的蚀刻化学药剂(如湿式化学蚀刻配方)移除这些含金属材料,以对于随后的电极材料(可能结合半导体材料,如硅与类似材料)沉积提供类似的条件。藉由适当地衡量该罩盖与扩散层的材料特性(如材料组成成分、层厚度及类似特性),可达到获得所欲功函数之所欲高度灵活性,其中,可藉由选定不同器件范围内的罩盖与扩散层堆栈的组成成分而提供不同”风味”的晶体管。再者,在本说明书中所讨论的一些说明态样中,由于已经在初期制造阶段中调整基本的晶体管特性,故可于非常先进的制造阶段中,藉由含金属电极材料取代半导体材料,该含金属电极材料可于共同制程序列中完成。因此,在于初期制造阶段中针对不同晶体管类型设定功函数数值之后,有关临限电压的晶体管特性可与在用于激活该汲极与源极区之退火制程期间所建立的制程条件脱钩,该用于激活该汲极与源极区之退火制程在精密的应用当中,为了在设置扩散与罩盖层的习知策略(其中,可基于该汲极与源极激活退火制程完成最终的临限调整)中降低退火温度,需要显著的制程调适。
本发明所揭露的一种说明方法包括在半导体器件的第一主动区域与第二主动区域上方形成闸极介电材料。该方法复包括在该第一主动区域上方选择性地形成第一含金属材料以及在该第二主动区域上方形成第二含金属材料。该方法亦包括实施热处理,以便在该第一主动区域上方开始将第一成分自该第一含金属材料扩散进入该闸极介电材料以及以便在该第二主动区域上方开始将第二成分自该第二含金属材料扩散进入该闸极介电材料。接着,移除该第一与第二含金属材料,且在移除该第一与第二含金属材料之后,在该闸极介电材料上方形成闸极电极材料。此外,该方法包括自该闸极电极材料,在该第一主动区域上方形成第一闸极电极结构以及在该第二主动区域上方形成第二闸极电极结构。
本发明所揭露的进一步说明方法系关于形成半导体器件的闸极电极结构。该方法包括将铝金属成分扩散进入闸极介电材料的第一部份中以及将镧金属成分扩散进入该闸极介电材料的第二部份中,其中,该闸极介电材料的该第一部份系形成在第一主动区域上方,且该闸极介电材料的该第二部份系形成在第二主动区域上方。该方法复包括在扩散该铝金属成分与该镧金属成分之后,自该闸极介电材料的该第一与第二部份上方移除材料,其中,该经移除的材料包括该铝金属成分与该镧金属成分。该方法额外包括在该闸极介电材料的该第一与第二部份上方共同形成闸极电极材料。最终,该方法包括自该闸极电极材料与该闸极介电材料的该第一部份形成第一闸极电极结构,以及自该闸极电极材料与该闸极介电材料的该第二部份形成第二闸极电极结构。
本发明所揭露的一种半导体器件包括第一主动区域以及形成在该第一主动区域上的第一闸极电极结构,其中,该第一闸极电极结构包括介电基底材料及形成于该介电基底材料上的高k介电材料,该高k介电材料包括第一临限调整金属成分。该半导体器件复包括第二主动区域以及形成在该第二主动区域上的第二闸极电极结构。该第二闸极电极结构包括该介电基底材料及形成于该介电基底材料上的高k介电材料,其中,该高k介电材料包括第二临限调整金属成分。此外,该半导体器件包括第一含金属电极材料,该第一含金属电极材料系形成在该第一与第二闸极电极结构中的该高k介电材料上。此外,第二含金属电极材料系形成在该第一含金属电极材料上。
附图说明
藉由参考以下说明书配合附加图式,可理解本发明的内容,其中,类似参考编号代表类似组件,且其中:
第1图根据习知制程策略示意地描绘包含N信道晶体管与P信道晶体管的精密闸极电极结构的半导体器件之剖面图,该精密闸极电极结构包含复数层扩散与罩盖层;
第2a-2i图根据说明实施例示意地描绘半导体器件于在图案化该闸极电极结构之前藉由调整该临限电压形成不同晶体管类型的闸极电极结构的各种制造阶段期间之剖面图;以及
第2i-2k图根据其它进一步说明实施例示意地描绘该半导体器件之剖面图,其中,系基于初期经过调整的临限电压得到具不同最终组构的晶体管,该经过调整的临限电压系以在图案化该闸极电极结构之前移除的罩盖层中的扩散为基础。
尽管本说明书所揭露的内容可轻易具有各种变化及替代形式,但是其特定实施例已经藉由例示方式显示于图式,且详述于本说明书中。然而,应理解到,本说明书所述的特定实施例并非意图将本发明限定于所揭露的特定形式,相反地,本发明涵盖落入由本发明附加申请专利范围所定义的精神与范畴内的所有变化、等效及替代实施形式。
具体实施方式
以下描述本发明之多个说明实施例。为清楚起见,于本说明书中并未描述实际实施方式的所有特征。将当然体认到,在任何此类实际实施例的研发之中,必须作出许多根据实施方式所特定的决定,以达到研发者的特定目标(如顺从与系统相关以及与商业相关之限制条件),此等特定目标将随实施方式而有所变化。此外,将体认到,此类研发的负担可能相当复杂并且耗时,但透过本发明所揭露之内容与优点,于所属技术领域中具有通常知识者可将此类研发视为例行性工作。
现在将参照附加图式描述本发明所揭露之内容。各种结构、系统及器件仅为了说明起见而示意地描绘于该等图式中,以免本发明所揭露之内容与熟悉此项技艺的人士所习知的细节发生混淆,所包含的该等附加图式系用以描述并说明本发明内容之说明范例。本说明书中的词句与措辞应了解并解释成具有与所属技术领域中具有通常知识者所理解的词句与措辞相同的意义。无特殊定义的词句或措辞(亦即,不同于熟悉此项技艺的人士所了解的通常与习惯意义之定义)系意指与本说明书中所使用的词句或措辞有一致的使用方式。对于意指具有特殊意义(亦即,不同于熟悉此项技艺的人士所了解的意义)的词句或措辞而言,将于本说明书中明确地提出,以定义的方式直接且明确地提供该词句或措辞之特殊定义。
本发明说明书提供多种半导体器件及技术,其中,敏感闸极介电材料可经处理,以在实际形成该闸极电极结构之前接收用于调整功函数与临限电压及类似特性的适当成分,可藉由以扩散层与罩盖层的形式设置能够使个别功函数调整金属成分扩散进入介电材料的适当材料而完成上述处理(该介电材料可设置为高k介电材料及/或氧化硅基材料之形式),以及藉由在实际沉积电极材料之前(如含金属电极材料,可结合半导体材料)移除这些材料而完成上述处理。以此方式,该功函数可于初期制造阶段中得到基本设定,藉此提供对于两种类型晶体管皆得到具有实质相同组构的闸极层堆栈之可能性,可因此在随后的复杂图案化制程期间造成优越的制程条件。如此一来,由于可以高度可靠度与均匀性达到所欲的晶体管特性,故可明显降低与制程相关的不规则性,藉此使得此方法非常有利于量产策略。此外,由于在初期制造阶段藉由利用适当温度的退火制程进行扩散而”稳定(stabilizing)”该功函数特性,可能造成退火制程对于激活该汲极与源极区域的影响较不显著,藉此在掺杂物激活(dopant activation)与功函数特性之间达到的某种程度的独立性,由于无须明显变化先前的闸极图案化序列,故能够相当有利于可能需要在汲极与源极区中降低退火温度之制程策略。此外,在本说明书所讨论的一些说明实施例中,由于可施加单一金属在两种类型的晶体管,由于两种晶体管的基本特性已经于较早制造阶段中设定完成,故可基于明显较少的关键制程条件在非常后期的制造阶段中合并所欲的含金属电极材料或其它任何所欲的电极材料。因此,在非常先进的制造阶段中可藉由取代占位材料(placeholder material)(如硅材料)完成所欲的高导电性闸极电极结构,而无须沉积不同类型的金属成分,如习知”取代闸极方法”中典型上所需要的金属成分。
现在将参照第2a图至第2k图更详细描述本发明的进一步说明实施例,其中,倘若适当的话,则亦可参考第1图。
第2a图示意地描绘半导体器件200的剖面图,该半导体器件200可包括基板201,在该基板201上方可形成有半导体层202。该基板201与该半导体层202可代表用于在其中及其上方形成电路组件(如晶体管等)的任何适当材料。举例而言,在形成该半导体层202之前,可于实质上结晶的基板材料上形成埋藏绝缘层(buried insulating layer),藉此形成绝缘体上硅(SOI)组构。为了调整所欲的晶体管特性,该半导体材料202可代表任何适当的材料,如硅材料,可能结合其它成分,如锗、碳等。此外,隔离结构202C可于侧向上局限该半导体层202中的第一主动区域202A与第二主动区域202B。举例而言,该第一主动区域202A可代表N型掺杂的井区域,在进一步制程期间欲在其中及其上方形成P信道晶体管。同样地,该主动区域202B可代表N信道晶体管的主动区域。然而,应该体认到,以下的制程序列亦可施加至该主动区域202A可代表N信道晶体管且该主动区域202B同时可代表P信道晶体管之组构。再者,在所示实施例中,该主动区域202A可包括临限调整半导体合金202D(例如:硅/锗合金的形式),该临限调整半导体合金202D具有特定厚度与锗浓度,以提供所需的价带偏移,用以调整(结合将在后续的制造序列中进行调整的适当功函数)欲形成于该主动区域202A中及上方的晶体管的临限电压。
此外,在所示的制造阶段中,在形成包含”罩盖层”结合扩散层221的层堆栈220之前,可在该第一与第二主动区域202A,202B上方形成闸极介电材料210。在所示实施例中,该介电材料210可包括介电基底层211,如氮氧化硅材料形式之二氧化硅基材料及类似材料。此外,高k介电材料层212可设置于该闸极介电材料210中。为此目的,如上所提及的任何适当材料皆可用作高k介电材料。然而,应该体认到,倘若需要的话,则该介电材料210可设置成单一材料层之形式,或者可包括三层或多层子层(sub-layer)。该层堆栈220可包括采用任何适当材料(如氮化钛材料)之形式的罩盖层223与222,同时,该扩散层221可包括适于调整欲形成在该主动区域202A上方的闸极电极结构的功函数之金属成分。举例而言,该扩散层221可设置成包括高浓度铝原子的铝层或材料层之形式。应该体认到,可基于该层堆栈220的材料组成成分与层厚度来调整后续退火制程期间的扩散特性,在一个说明实施例中,该层堆栈220可包括厚度0至30埃的罩盖层223,同时该顶部罩盖层222可设置成具有大约0至100埃的厚度。也就是说,在一些情况下,倘若认为适当,则可省略该等罩盖层222,223的其中一者或两者,同时,在其它情况下,可针对仍待形成的退火制程的制程参数选定上述范围中任何适当的厚度。此外,该扩散层221可具有大约1至20埃的厚度,用于包含铝成分之材料层。
如第2a图所描绘的半导体器件200可基于以下制程形成。在形成该隔离结构202C之后,可利用适当的屏蔽制度结合布植制程来建立该主动区域202A,202B的基本掺杂物分布。
在那之后,倘若需要的话,该半导体合金202D可选择性地形成于该主动区域202A上,可利用选择性磊晶生长(selective epitaxial growth)技术结合适当的屏蔽制度(用于在沉积期间覆盖该主动区域202B)来形成该半导体合金202D。接下来,可利用任何适当的方式形成该闸极介电材料210,例如藉由实施用于形成氧化硅基基底材料之氧化制程,同时,在其它情况下,可施加化学氧化制程、沉积及类似制程。倘若需要的话,则为了适当地调整该基底层(base layer)211的组成成分,可实施任何进一步表面处理及类似处理,该基底层211可具有大约1埃及更小的厚度。在那之后,可利用任何经良好建立的化学气相沉积(CVD)技术、物理气相沉积(PVD)技术及类似技术来沉积该高k介电材料。在那之后,可基于经良好建立的制程技术来沉积该层堆栈220,其中,沉积参数系经控制,以得到如上述所欲的材料组成成分及厚度。
第2b图示意地描绘当曝露于基于蚀刻屏蔽231(如阻隔屏蔽(resistmask),可结合其它适当材料)用于自该主动区域202B上方选择性移除该层堆栈220的蚀刻环境230时的半导体器件200。可基于任何适当的蚀刻化学药剂(例如:利用以过氧化铵混合物(ammonium peroxidemixture;APM)为基础的湿式化学蚀刻配方)实施该蚀刻制程230,以移除该层堆栈220,该蚀刻制程230能够有效地移除如氮化钛等之材料,结合该扩散层221,同时,当例如复数层高k介电材料可针对复数种蚀刻配方显现出优越的蚀刻终止能力时,将蚀刻终止于该介电材料210。因此,可于该蚀刻制程230期间曝露出该介电闸极材料210(亦即,该层212)。
第2c图示意地描绘该半导体器件200具有形成于该主动区域202A,202B上方的进一步层堆栈225。该层堆栈225可能具有任何适当的组构,用于在扩散层226(可能结合一层或多层额外罩盖层227)中提供扩散成分。举例而言,该层226可包含金属成分,该金属成分能够适当调整欲形成在该主动区域202B中及上方的晶体管之临限电压。在一个实施例中,该扩散层226可包括镧,镧可代表用于调整N信道晶体管中功函数的适当候选材料。额外罩盖层227可包括氮化钛或其它任何适当的材料组成成分。对于用以形成该层堆栈225的任何制程技术而言,该层堆栈220系较佳的选项。
第2d图示意地描绘在进一步先进制造阶段中的半导体器件200。如图所示,例如由硅或其它任何适当材料所组成的保护层203可形成于该层堆栈225上方。应该体认到,在其它说明实施例中,当认为适合用于实施后续的退火制程时,可省略该保护层203。再者,该器件200可承受范围大约800至1200℃的高温下的退火制程205,同时,在其它情况下,可施加大约900℃及更高的温度。在可基于快速热退火(rapid thermal anneal;RTA)制程条件(在其它情况下,可采用其它退火策略,如以雷射为基础的退火制程、以闪光为基础的退火制程等)实施的退火制程205期间,可开始扩散该等扩散层221与226中的含金属成分,以向该介电材料210迁移并进入该介电材料210。因此,该层226的成分(如镧)可有效地扩散进入该主动区域202B上方的介电材料210,同时可抑制形成于该主动区域202A上方的层堆栈220的层222中对应的镧扩散。另一方面,该层221中的铝成分可有效地散散至位于该主动区域202A上方的部份介电材料210。以此方式,可于该退火制程205期间完成对于不同晶体管类型的临限电压调整。如先前所讨论,藉由适当地选定该扩散层221与226的材料组成成分与厚度,结合针对该层堆栈220中的罩盖层223与222以及该层堆栈225的罩盖层227选定适当材料及层厚度数值,该扩散层221与226可分别适应该等扩散条件。举例而言,例如,当包含镧成分时,该扩散层226可设置成具有大约1至20埃的厚度,同时,例如对于氮化钛材料而言,该罩盖层227可设置成具有大约0至100埃的厚度。
举例而言,该罩盖层223的厚度可能对于该扩散层221中成分的扩散行为具有显著的影响,进而也显著地影响最终所得到的相应晶体管的临限电压。举例而言,藉由缩减该层223的厚度,藉此增加合并进入位于该第一主动区域202A上方的部份介电材料210之金属成分量,可使得P信道晶体管的临限电压达到较佳的偏移。同样地,增加该扩散层221的厚度亦可造成该第一主动区域202A上方的闸极介电材料210之金属成分量的增加,藉此亦对所得到的临限电压造成较佳的偏移。另一方面,该罩盖层222的厚度可能对于经合并于该介电材料210中的扩散层221的成分量没有显著影响,但是可调整关于该扩散层226的”阻断作用(diffusion blocking effect)”,进而更有效率地或较低效率地抑制此金属成分向该闸极介电材料210的扩散,可能偏离(shiftaway)所欲的临限电压。同样地,该扩散层226的厚度可能对位于该第二主动区域202B上方的部份闸极介电材料210中所得到的最终金属成分量(如镧)产生影响,藉此提供调整最终临限电压的偏移的可能性。另一方面,倘若有需要的话,则考量到设置该保护层203,该罩盖层227的厚度实质上可能不会影响扩散行为,但是可能对下层材料(如该闸极介电材料210)提供优越的整合性。
因此,藉由分别设置该层堆栈220与225(可包含零至数层罩盖层,结合相应扩散层221与226),可针对给定的退火制程205参数设定大幅度调整临限电压。因此,为了降低任何后续步骤的影响(如掺杂物激活及类似步骤),可选定该退火制程205的制程参数,使得在该第一与第二主动区域202A,202B上方的闸极介电材料210中可得到足够″稳定″的经调整材料特性。再者,该等层堆栈220及/或225可设置成具有不同特性,亦即,不同器件范围中的不同材料层数量、不同厚度数值、不同材料组成成分,进而可藉由设置不同临限电压来调整不同″风味″的晶体管。为此目的,可例如藉由自一些器件范围上方移除某些层堆栈或一部份该层堆栈而施加任何适当的屏蔽制度,同时维持其它器件范围中的层堆栈且形成一层或多层进一步层堆栈,如上述层堆栈220与225。
在其它情况下,可利用局部不同的退火条件(例如:关于温度)施加该退火制程205,该局部不同的退火条件可基于以精密雷射为基础的技术及类似技术而完成,其中,可局部改变能量沉积,已得到不同的有效退火温度及/或曝露时间。在其它情况下,该保护层203的特性可在空间上产生变化,亦藉此在以雷射为基础或以闪光为基础的退火技术期间变化局部退火条件。
应体体认到,于该退火制程205期间,为了设定所欲的晶体管特性,亦可藉由合并相应的金属成分来调整该基底层211的特性。
第2e图示意地描绘当曝露于蚀刻制程206的序列时的半导体器件200,于蚀刻制程206的序列期间,可移除该保护层203与该层堆栈225及220(如第2d图所示),藉此曝露出该等主动区域202A,202B上方具有不同材料组成成分的闸极介电材料210,如部份210A,210B所示。于该蚀刻序列206期间,当设置有该保护层203(第2d图)时,可藉由任何适当的湿式化学蚀刻配方(如过氧化铵混合物、氢氧化四甲铵(tetramethyl ammonium hydroxide;TMAH)及类似配方)移除该保护层203,接着当提到选择性移除该层堆栈220时,则伴随上述的适当湿式化学蚀刻化学药剂。
第2f图示意地描绘在沉积制程208期间的半导体器件200,其中,该等主动区域202A,202B上方可形成有含金属电极材料213。也就是说,在一些实施例中,该电极材料213可直接形成于该闸极介电材料210上,亦即,形成于具有不同特性的相应部份210A,210B上,而不需要如习知方法可能需要用到的不同功函数金属,藉此产生如先前参照第1图所讨论的复杂闸极层堆栈。举例而言,该共同闸极电极材料213可设置为厚度1至10奈米的氮化钛及类似材料之形式。
第2g图示意地描绘在进一步先进制造阶段中的半导体器件200。如图所示,第一闸极电极结构235A可形成于该主动区域202A上,且可包括该闸极介电材料210A伴随着该电极材料213以及其它电极材料214,该第一闸极电极结构235A亦可包含该器件200的进一步制程可能需要的其它任何材料及类似材料。同样地,第二闸极电极结构235B可形成于该主动区域202B上,且可包括该闸极介电材料210B伴随着该电极材料213以及其它电极材料214。该电极材料214可包括硅材料、硅/锗材料及类似材料,如同该器件的进一步制程所需以及用于所欲的整体器件特性。应该体认到,在一些说明实施例中,在稍后的制造阶段(亦即,在于该主动区域202A,202B中及上方完成基本晶体管组构之后)中,可由高导电性材料(如金属)取代该材料214。
如第2g图所示的半导体器件200可基于形成该含金属电极材料213且沉积该材料214及随后基于精密微影与蚀刻技术图案化该所产生的层堆栈之适当制程技术而形成。因此,在图案化制程期间,可能必须处理实质上相同的层堆栈,藉此对所产生的闸极电极结构235A,235B的整体可靠度与均匀性有明显助益。在该图案化制程之后,可形成保护衬垫(protection liner)209,以便在进一步制程期间维护该闸极电极结构235A,235B的侧壁的完整性。该保护衬垫209可基于任何适当的材料(如氮化硅与类似材料)而形成。
第2h图更仔细地示意地描绘一部份该闸极电极结构235A,235B。如图所示,该闸极电极结构235A可包括结合高k介电材料212的基底层211,其中,至少该层212可合并有扩散成分(如铝226A)于其中,该扩散成分可能(结合该半导体合金202D)造成P信道晶体管的适当临限电压。同样地,该闸极电极结构235B可包括形成于该基底层211上方的高k介电材料212,其中,合并有扩散成分212A(如镧等)以得到所欲的临限电压。
如先前所讨论,如果希望,该成分226A与221A亦可并入该基底层211中,藉此亦改变该基底层211的特性。举例而言,二氧化硅材料可转换成为硅酸盐(silicate),藉此增加该基底材料211的介电常数(dielectric constant)。
第2i图示意地描绘在进一步先进制造阶段中的半导体器件200。如图所示,第一晶体管250A可以该闸极电极结构235A为基础而形成,且第二晶体管250B可以该闸极电极结构235B为基础而形成。如先前所讨论,该等晶体管250A,250B可分别代表P信道晶体管与N信道晶体管,且包括依据所欲晶体管特性具有适当垂直与侧向掺杂物分布的汲极与源极区域251。举例而言,可基于形成在该闸极电极结构235A,235B的侧壁上的分隔件结构255而建立该汲极与源极区域251的掺杂物分布。再者,金属硅化物区域(matal silicide region)252可形成于该汲极与源极区域251中。再者,在一些说明实施例中,为了在信道区域253中诱发压缩应变(compressive strain),该等晶体管250A,250B的其中一者或两者可包括嵌入相应主动区域中的应变诱发半导体材料(strain-inducing semiconductor material)254,对于(例如)该晶体管250A所显示的相应主动区域可包括例如硅/锗合金形式的半导体合金254。在其它情况下,为了加强该晶体管250B的效能,可于该晶体管250B中设置相应的材料(如硅/碳合金及类似材料)。
如第2i图所示的半导体器件200可基于以下的制程技术形成。在图案化该闸极电极结构235A,235B之后,倘若需要的话,则可藉由经良好建立的制程技术形成该半导体合金254,可于该主动区域202A中形成多个凹洞,并且接着基于选择性磊晶生长技术以该材料254填充该凹洞。在那之后,可利用该分隔件结构255作为布植屏蔽且藉由离子布植形成该汲极与源极区域。在那之后,可基于任何适当的制程温度实施退火制程,其中,在一个说明实施例中,该退火温度可低于900℃,使得即便在该汲极与源极激活期间的退火参数可能必须重新调整以形成具不同特性的半导体器件,先前所得到的介电材料210A的热稳定度(如先前所述)仍可提供稳定的晶体管特性。同样地,当形成该半导体合金254时,相应升高的温度不会明显影响该闸极介电材料210A,210B的特性。
第2j图根据进一步说明实施例示意地描绘该半导体器件200,其中,该闸极电极结构235A,235B可包括金属硅化物区域216,该金属硅化物区域216可与该金属硅化物区域252共同形成,或者可于独立制程序列中形成。因此,亦在此情况下,该闸极电极结构235A,235B可基于实质上相同的组构形成,其中,于完成该晶体管组构的最终阶段,可藉由该金属硅化物区域216进一步降低该接触电阻率(contactresistivity)。
第2k图根据另一进一步说明实施例示意地描绘该半导体器件200,其中,可设置一部份层间介电材料260,以便于侧向上包围该闸极电极结构235A,235B。该层间介电材料260可包括第一介电材料261(如氮化硅材料、含氮碳化硅材料及类似材料),倘若需要的话,则该第一介电材料261可(至少局部)设置于高应力状态。再者,可设置第二材料262(如二氧化硅材料等)。再者,为了选择性移除该电极材料214(第2i图),该器件200可曝露于蚀刻环境263下,可藉由经良好建立的蚀刻配方(例如:基于TMAH等)完成该电极材料214的移除。为此目的,可基于任何经良好建立的制程技术形成该层间介电材料260,接着为了实施该蚀刻制程263而实施用于曝露该闸极电极结构235A,235B之平坦化序列(planarization sequence)。于蚀刻制程263期间,该含金属电极材料213可作为有效的蚀刻终止材料,藉此维持该介电层部份210A,210B的完整性。在那之后,可填入其它导电性电极材料(由虚线236所示),以提供该闸极电极结构235A,235B优越的整体导电性。因此,该闸极电极结构235A,235B可具有非常类似的组构,亦即,该介电闸极材料210A,210B伴随该第一含金属电极材料213与第二高导电性电极材料(如含金属材料236)。
因此,本发明提供多种半导体器件与制造技术,其中,可在实际图案化该闸极电极结构之前实施功函数调整金属成分的扩散。为此目的,可在该退火制程之后移除相应的扩散层以及任何罩盖材料,且可藉由针对两种晶体管类型所共同设置的含金属电极材料取代该相应的扩散层以及任何罩盖材料。因此,可基于对N信道晶体管与P信道晶体管而言实质相同的条件,实施任何进一步闸极材料的进一步沉积与图案化。
上述内容中所揭露之特定实施例仅为说明,于所属技术领域中具有通常知识者透过本说明书所揭露之技术能够对本发明做出不同但等效之变更与实现。举例而言,上述所提出之制程步骤可以不同顺序实施。再者,除了以下申请专利范围所描述者以外,并未意图限制本说明书中所示之架构细节。因此,清楚了解到,以上所揭露之特定实施例可做出改变或变更,且所有变化皆系落于本发明之范畴与精神内。因此,本说明书所寻求保护之范围系如同以下申请专利范围所提出者。

Claims (13)

1.一种制造集成电路的方法,包括:
在半导体器件(200)的第一主动区域(202A)与第二主动区域(202B)上方形成闸极介电材料(210);
在该第一主动区域(202A)上方选择性地形成第一含金属材料(220);
在该第二主动区域(202B)上方形成第二含金属材料(225);
实施热处理(205),以便在该第一主动区域(202A)上方开始将第一成分自该第一含金属材料(223)扩散进入该闸极介电材料(210)以及以便在该第二主动区域(202B)上方开始将第二成分自该第二含金属材料(225)扩散进入该闸极介电材料(210);
移除该第一与第二含金属材料;
在移除该第一与第二含金属材料(220,225)之后,在该闸极介电材料(210)上方形成闸极电极材料(213);以及
自该闸极电极材料(213),在该第一主动区域(202)上方形成第一闸极电极结构(235A)以及在该第二主动区域(202B)上方形成第二闸极电极结构(235B)。
2.如权利要求1所述的方法,其中,该闸极电极材料(213)包括含金属电极材料。
3.如权利要求1所述的方法,复包括在该第一与第二含金属材料上方形成保护层(203),并且在具有该保护层(203)的情况下实施该热处理(205)。
4.如权利要求1所述的方法,其中,形成该第一含金属材料(220)包括在该闸极介电材料(210)上方形成含有该第一成分的第一扩散层(221)以及在该第一扩散层(221)上形成罩盖层(222)。
5.如权利要求4所述的方法,复包括在该闸极介电材料(210)上形成底部罩盖层(223)。
6.如权利要求1所述的方法,复包括在形成该闸极介电材料之前,在该第一主动区域(202A)上形成临限调整半导体合金(202D)。
7.如权利要求1所述的方法,其中,该热处理于大约800℃或更高的温度下实施。
8.如权利要求1所述的方法,其中,该第一含金属材料包括铝。
9.如权利要求1所述的方法,其中,该第二含金属材料包括镧。
10.如权利要求1所述的方法,复包括在于该第一与第二主动区域(202A,202B)中形成汲极与源极区域之后,以含金属材料取代该第一与第二闸极电极结构(235A,235B)中的该闸极电极材料(213)的至少一部份。
11.一种形成半导体器件的闸极电极结构之方法,该方法包括:
将铝金属成分扩散进入闸极介电材料(210)的第一部份中以及将镧金属成分扩散进入该闸极介电材料(210)的第二部份中,该闸极介电材料(210)的该第一部份形成在第一主动区域(202A)上方,且该闸极介电材料(210)的该第二部份形成在第二主动区域(202B)上方;
在扩散该铝金属成分与该镧金属成分之后,自该闸极介电材料(210)的该第一与第二部份上方移除材料,该经移除的材料包括该铝金属成分与该镧金属成分;
在该闸极介电材料(210)的该第一与第二部份上方共同形成闸极电极材料(213);
自该闸极电极材料(213)与该闸极介电材料(210)的该第一部份形成第一闸极电极结构(235A);以及
自该闸极电极材料(213)与该闸极介电材料(210)的该第二部份形成第二闸极电极结构(235B)。
12.如权利要求11所述的方法,其中,扩散该第一与第二金属成分包括于大约900℃或更高的温度下实施退火制程(anneal process)。
13.如权利要求12所述的方法,复包括藉由在低于该退火制程温度之温度下施加第二退火制程,而在该第一与第二主动区域(202A,202B)中形成汲极与源极区域。
CN201080037523.3A 2009-06-30 2010-06-17 均匀高k金属闸极堆栈藉由在闸极图案化之前扩散金属成分调整精密晶体管的临限电压 Expired - Fee Related CN102484053B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102009031155.6 2009-06-30
DE102009031155A DE102009031155B4 (de) 2009-06-30 2009-06-30 Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte in das Gatedielektrikum vor der Gatestrukturierung
US12/787,461 US8445344B2 (en) 2009-06-30 2010-05-26 Uniform high-k metal gate stacks by adjusting threshold voltage for sophisticated transistors by diffusing a metal species prior to gate patterning
US12/787,461 2010-05-26
PCT/US2010/038923 WO2011008401A1 (en) 2009-06-30 2010-06-17 Uniform high-k metal gate stacks by adjusting threshold voltage for sophisticated transistors by diffusing a metal species prior to gate patterning

Publications (2)

Publication Number Publication Date
CN102484053A CN102484053A (zh) 2012-05-30
CN102484053B true CN102484053B (zh) 2015-04-15

Family

ID=43298964

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080037523.3A Expired - Fee Related CN102484053B (zh) 2009-06-30 2010-06-17 均匀高k金属闸极堆栈藉由在闸极图案化之前扩散金属成分调整精密晶体管的临限电压

Country Status (5)

Country Link
US (1) US8445344B2 (zh)
CN (1) CN102484053B (zh)
DE (1) DE102009031155B4 (zh)
TW (1) TWI543300B (zh)
WO (1) WO2011008401A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009047310B4 (de) * 2009-11-30 2013-06-06 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Austrittsarbeitseinstellung in Gate-Stapeln mit großem ε für Bauelemente mit unterschiedlichen Schwellwertspannungen
KR20120054935A (ko) * 2010-11-22 2012-05-31 삼성전자주식회사 고유전체층을 게이트 절연층으로 채택하는 반도체 소자 및 그 제조방법들
KR20120054934A (ko) 2010-11-22 2012-05-31 삼성전자주식회사 고유전상수의 게이트 유전층을 갖는 반도체 소자의 제조방법들 및 그에 의해 제조된 반도체 소자들
TWI574377B (zh) * 2011-07-01 2017-03-11 聯華電子股份有限公司 積體電路模組及其製作方法與應用
US8440520B2 (en) 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US8941184B2 (en) * 2011-12-16 2015-01-27 International Business Machines Corporation Low threshold voltage CMOS device
US8633118B2 (en) 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
US8735240B2 (en) 2012-04-25 2014-05-27 Globalfoundries Inc. CET and gate current leakage reduction in high-k metal gate electrode structures by heat treatment after diffusion layer removal
US8791003B2 (en) 2012-06-21 2014-07-29 GlobalFoundries, Inc. Methods for fabricating integrated circuits with fluorine passivation
KR20140034347A (ko) * 2012-08-31 2014-03-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8865581B2 (en) * 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US9576952B2 (en) * 2014-02-25 2017-02-21 Globalfoundries Inc. Integrated circuits with varying gate structures and fabrication methods
US9455201B2 (en) 2014-02-25 2016-09-27 Globalfoundries Inc. Integration method for fabrication of metal gate based multiple threshold voltage devices and circuits
US9362180B2 (en) 2014-02-25 2016-06-07 Globalfoundries Inc. Integrated circuit having multiple threshold voltages
US9515164B2 (en) 2014-03-06 2016-12-06 International Business Machines Corporation Methods and structure to form high K metal gate stack with single work-function metal
KR102212267B1 (ko) * 2014-03-19 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9401362B2 (en) 2014-04-04 2016-07-26 Globalfoundries Inc. Multiple threshold voltage semiconductor device
US9330938B2 (en) 2014-07-24 2016-05-03 International Business Machines Corporation Method of patterning dopant films in high-k dielectrics in a soft mask integration scheme
US10170373B2 (en) 2014-09-24 2019-01-01 Globalfoundries Inc. Methods for making robust replacement metal gates and multi-threshold devices in a soft mask integration scheme
US9418995B2 (en) * 2014-10-14 2016-08-16 Globalfoundries Inc. Method and structure for transistors using gate stack dopants with minimal nitrogen penetration
US10062618B2 (en) 2015-05-26 2018-08-28 GlobalFoundries, Inc. Method and structure for formation of replacement metal gate field effect transistors
US9595593B2 (en) * 2015-06-29 2017-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with interfacial layer and method for manufacturing the same
WO2017171860A1 (en) * 2016-04-01 2017-10-05 Intel Corporation Transistor threshold voltage variation optimization
CN107785317A (zh) * 2016-08-29 2018-03-09 中芯国际集成电路制造(上海)有限公司 Mos器件的形成方法
US9922885B1 (en) * 2016-11-30 2018-03-20 Micron Technology, Inc. Semiconductor devices comprising nitrogen-doped gate dielectric
US10720516B2 (en) * 2017-06-30 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack structure and method for forming the same
US10665450B2 (en) * 2017-08-18 2020-05-26 Applied Materials, Inc. Methods and apparatus for doping engineering and threshold voltage tuning by integrated deposition of titanium nitride and aluminum films
US10510621B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for threshold voltage tuning and structures formed thereby
TWI675460B (zh) * 2018-12-10 2019-10-21 力晶積成電子製造股份有限公司 記憶體結構及其製造方法
US11264477B2 (en) * 2019-09-23 2022-03-01 Globalfoundries U.S. Inc. Field-effect transistors with independently-tuned threshold voltages

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
TWI252539B (en) * 2004-03-12 2006-04-01 Toshiba Corp Semiconductor device and manufacturing method therefor
US7291526B2 (en) * 2004-12-06 2007-11-06 Infineon Technologies Ag Semiconductor device and method of manufacture thereof
US7504700B2 (en) * 2005-04-21 2009-03-17 International Business Machines Corporation Method of forming an ultra-thin [[HfSiO]] metal silicate film for high performance CMOS applications and semiconductor structure formed in said method
US7291527B2 (en) * 2005-09-07 2007-11-06 Texas Instruments Incorporated Work function control of metals
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
KR100809327B1 (ko) * 2006-08-10 2008-03-05 삼성전자주식회사 반도체 소자 및 그 제조방법
US7629212B2 (en) * 2007-03-19 2009-12-08 Texas Instruments Incorporated Doped WGe to form dual metal gates
US7772073B2 (en) * 2007-09-28 2010-08-10 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
JP5139023B2 (ja) * 2007-10-16 2013-02-06 株式会社東芝 半導体装置の製造方法
US20090108294A1 (en) * 2007-10-30 2009-04-30 International Business Machines Corporation Scalable high-k dielectric gate stack
JP5196954B2 (ja) * 2007-10-31 2013-05-15 株式会社東芝 半導体装置の製造方法
TWI492367B (zh) * 2007-12-03 2015-07-11 Renesas Electronics Corp Cmos半導體裝置之製造方法
US7994036B2 (en) * 2008-07-01 2011-08-09 Panasonic Corporation Semiconductor device and fabrication method for the same
US20100219481A1 (en) * 2009-01-09 2010-09-02 Imec Method for manufacturing a dual work function semiconductor device and the semiconductor device made thereof
KR101589440B1 (ko) * 2009-02-09 2016-01-29 삼성전자주식회사 듀얼 게이트 반도체 장치의 제조 방법
DE102009047310B4 (de) * 2009-11-30 2013-06-06 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Austrittsarbeitseinstellung in Gate-Stapeln mit großem ε für Bauelemente mit unterschiedlichen Schwellwertspannungen

Also Published As

Publication number Publication date
TWI543300B (zh) 2016-07-21
TW201115686A (en) 2011-05-01
DE102009031155A1 (de) 2011-01-05
DE102009031155B4 (de) 2012-02-23
WO2011008401A1 (en) 2011-01-20
US20100327373A1 (en) 2010-12-30
CN102484053A (zh) 2012-05-30
US8445344B2 (en) 2013-05-21

Similar Documents

Publication Publication Date Title
CN102484053B (zh) 均匀高k金属闸极堆栈藉由在闸极图案化之前扩散金属成分调整精密晶体管的临限电压
KR101817376B1 (ko) 펀치 스루 억제부를 갖는 개선된 트랜지스터
CN102460681B (zh) 稳定栅极介电层前藉由扩散栅极介电覆盖层调整复杂晶体管的阈值电压
EP2257977B1 (en) Method for manufacturing an integrated circuit having long and short channel metal gate devices
CN101884101B (zh) Cmos半导体装置及其制造方法
TWI462234B (zh) 形成可程式化高介電常數/金屬閘極記憶元件之結構及方法
US8653605B2 (en) Work function adjustment in a high-K gate electrode structure after transistor fabrication by using lanthanum
US10084057B2 (en) NVM device in SOI technology and method of fabricating an according device
CN103311247A (zh) 半导体器件及其制造方法
US9508728B2 (en) CMOS gate stack structures and processes
KR20130126890A (ko) 문턱 전압 설정 도펀트 구조물들을 갖는 개선된 트랜지스터
CN102576691A (zh) 具厚度不同的栅极介电质的高k栅极堆栈中的功函数调整
US8383500B2 (en) Semiconductor device formed by a replacement gate approach based on an early work function metal
US8735240B2 (en) CET and gate current leakage reduction in high-k metal gate electrode structures by heat treatment after diffusion layer removal
JP2008016538A (ja) Mos構造を有する半導体装置及びその製造方法
CN101304031A (zh) 电路结构及其制造方法
TWI789414B (zh) 半導體裝置及其製造方法
US7880236B2 (en) Semiconductor circuit including a long channel device and a short channel device
CN101772839A (zh) 具有金属栅极和高k电介质的电路结构
JP2006005294A (ja) 半導体装置
US20130032877A1 (en) N-channel transistor comprising a high-k metal gate electrode structure and a reduced series resistance by epitaxially formed semiconductor material in the drain and source areas
KR20130022882A (ko) 반도체 장치 및 그 제조방법
JP2006049781A (ja) 絶縁ゲート型半導体装置及びその駆動方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150415

Termination date: 20190617