CN102414844A - Led的群集工具 - Google Patents

Led的群集工具 Download PDF

Info

Publication number
CN102414844A
CN102414844A CN2010800195141A CN201080019514A CN102414844A CN 102414844 A CN102414844 A CN 102414844A CN 2010800195141 A CN2010800195141 A CN 2010800195141A CN 201080019514 A CN201080019514 A CN 201080019514A CN 102414844 A CN102414844 A CN 102414844A
Authority
CN
China
Prior art keywords
chamber
substrate
precursor
treatment chamber
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800195141A
Other languages
English (en)
Other versions
CN102414844B (zh
Inventor
S·尼杰哈瓦
B·H·伯罗斯
石川哲也
O·克利里欧科
A·瓦苏德范
苏杰
D·H·考齐
常安中
Y·梅尔尼克
H·S·拉迪雅
S·T·恩古耶
L·庞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102414844A publication Critical patent/CN102414844A/zh
Application granted granted Critical
Publication of CN102414844B publication Critical patent/CN102414844B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Led Devices (AREA)
  • Semiconductor Lasers (AREA)

Abstract

本发明大体而言提供了用于形成LED结构的装置和方法。本发明的一个实施例提供了一种用于制造复合氮化物结构的方法,包括:在第一处理腔室中,通过氢化物气相外延(HVPE)处理或金属有机化学气相沉积(MOCVD)处理在基板上形成包含第一III族元素和氮的第一层;在第二处理腔室中,通过MOCVD处理在第一层上形成包含第二III族元素和氮的第二层;以及通过MOCVD处理在第二层上形成包含第三III族元素和氮的第三层。

Description

LED的群集工具
技术领域
本发明的实施例一般涉及半导体组件的制造。更具体地,本发明的实施例涉及用于制造诸如发光二极管(LEDs)及激光二极管(LDs)之类的氮化物化合物半导体组件的装置及方法。
背景技术
发光二极管(“LEDs”)的历史有时以“爬上光谱”为特征。这是因为首个商用LED产生光谱红外部分的光,接着开发了在GaAs基板上使用GaAsP的红色LED。随后又使用GaP LED,具有改良的效率以容许制造更亮的红色LED及橙色LED。随后,对GaP的使用进行的改进允许开发绿色LED,并且双GaP芯片(一个为红色且一个为绿色)容许产生黄色光。后来,经由使用GaAlAsP及InGaAlP材料使得能够进一步改良在这一部分光谱中的效率。
朝着制造提供越来越短波长的光的LED的发展是普遍期望的,不仅因为这样的LED能提供宽的光谱范围,也因为短波长光的二极管制造可改善诸如CD-ROM之类的光学组件的信息储存容量。在光谱的蓝色、紫色及紫外部分的LED的制造主要是通过开发基于氮化物的LED(尤其是通过使用GaN)而实现的。尽管之前已经在使用SiC材料制造蓝色LED方面获得了一定的成功,但是这些设备由于其电子结构具有间接频带间隙的事实而遭受不良发光。
尽管数十年来已熟知使用GaN来产生蓝色光谱区的光致发光的可行性,但是仍存在阻碍其实际制造的众多障碍。这些障碍包括:缺少其上生长GaN结构的适当基板、生长GaN通常需要的高热量要求导致各种热对流问题、以及这些材料的有效p型掺杂的各种难题。使用蓝宝石作为基板并非完全令人满意,因为这提供了与GaN的大致15%的晶格不匹配。在解决这些障碍的诸多方面已随后取得了进展。举例而言,已发现使用从金属有机蒸气形成的AlN或GaN缓冲层有效地调节晶格不匹配。对基于Ga-N结构的生产的进一步改进已包括使用AlGaN材料来与GaN形成异质接面,并尤其包括使用InGaN,这导致产生缺陷作为量子阱从而有效地发射短波长光。富含铟的区域具有比周围材料更小的频带间隙,且可被分散至材料各处以提供有效发射中心。
尽管在这些复合氮化物半导体设备的制造中已进行了一些改良,但是广泛地认识到,在当前制造过程中仍存在若干缺陷。此外,产生这些波长的光的设备的高利用率已使得这些设备的制造成为备受关注及活跃的领域。
鉴于这些考虑,在本领域普遍需要用于制造复合氮化物半导体设备的改良的方法及系统。
发明内容
本发明主要提供诸个装置和方法,用于形成:LED结构、LD结构、以及包括高功率、高频、高温晶体管及集成电路的电子设备。
本发明的一个实施例提供一种用于制造复合氮化物结构的方法,包括:将一个或更多个基板移送至第一处理腔室;在第一处理腔室中,通过氢化物气相外延(HVPE)处理或金属有机化学气相沉积(MOCVD)处理在所述一个或更多个基板上形成n型掺杂氮化镓(GaN)层;将所述一个或更多个基板移送至第二处理腔室;在第二处理腔室中,通过MOCVD处理在所述一个或更多个基板上形成InGaN多重量子阱活性层;将所述一个或更多个基板移送至第三处理腔室;通过MOCVD处理在所述一个或更多个基板上形成p型掺杂AlGaN层;以及通过MOCVD处理在所述一个或更多个基板上形成p型掺杂GaN层。
另一个实施例提供了一种用于制造复合氮化物半导体结构的方法,包括:将一个或更多个基板安置于包含喷淋头的第一金属有机化学气相沉积(MOCVD)腔室的处理区域中的基座上;将第一含镓前体和第一含氮前体经由所述喷淋头流入所述第一MOCVD腔室;使用所述含镓前体和所述第一含氮前体,在所述第一MOCVD腔室中用热化学气相沉积处理在所述一个或更多个基板上沉积氮化镓层;从所述第一MOCVD腔室移除所述一个或更多个基板,而不使所述一个或更多个基板暴露于大气;将所述一个或更多个基板移送至第二MOCVD腔室;将第二含镓前体、含铟前体和第二含氮前体流入所述第二MOCVD处理腔室;使用所述第二含镓前体、所述含铟前体和所述第二含氮前体,在所述第二MOCVD处理腔室中用热化学气相沉积处理在所述GaN层上沉积InGaN层;从所述第二MOCVD腔室移除所述一个或更多个基板,而不使所述一个或更多个基板暴露于大气;将所述一个或更多个基板移送入第三MOCVD腔室;将第三含镓前体、含铝前体和第三含氮前体流入所述第三MOCVD处理腔室;以及使用所述第三含镓前体、所述含铝前体和所述第三含氮前体,在所述第三MOCVD处理腔室中用热化学气相沉积处理在所述InGaN层上沉积AlGaN层。
本发明的又一实施例提供一种用于制造复合氮化物半导体结构的方法,包括:将第一III族前体和第一含氮前体流入第一处理腔室,所述第一III族前体包含第一III族元素;使用所述第一III族前体和所述第一含氮前体,在所述第一处理腔室中在一个或更多个基板上沉积第一层,所述第一层包含氮以及所述第一III族元素;从所述第一处理腔室移除所述一个或更多个基板,而不使所述一个或更多个基板暴露于大气;将所述一个或更多个基板移送至第二处理腔室;将第二III族前体和第二含氮前体流入所述第二处理腔室中,所述第二III族前体包含所述第一III族前体所不包含的第二III族元素;使用所述第二III族前体和所述第二含氮前体,在所述第二处理腔室中用热化学气相沉积处理在所述一个或更多个基板上沉积第二层,所述第二层包含氮以及所述第二III族元素;从所述第二基板处理腔室移除所述一个或更多个基板,而不使所述一个或更多个基板暴露于大气;将所述一个或更多个基板移送入第三基板处理腔室;将第三III族前体和第三含氮前体流入所述第三处理腔室,所述第三III族前体包含所述第一III族前体或所述第二III族前体所不包含的第三III族元素;以及,使用所述第三III族前体和所述第三含氮前体,在所述第三处理腔室中用热化学气相沉积处理在所述一个或更多个基板上沉积第三层,所述第三层包含氮以及所述第三III族元素。
附图说明
因此,可参照实施例更详细地理解本发明的上述特征结构,即上文简要概述的本发明的更特定描述,一些实施例被图示于附图中。然而,应注意到,附图仅仅示出了本发明的典型实施例,且因此不应该被认为是对其范围的限制,因为本发明可允许其它等效实施例。
图1A为基于GaN的LED结构的示意截面图。
图1B为基于GaN的LD结构的示意图。
图2A为根据本发明的一个实施例的HVPE腔室的示意截面图。
图2B为根据本发明的一个实施例的HVPE腔室的示意图。
图3为根据本发明的一个实施例的MOCVD腔室的示意截面图。
图4为根据本发明的一个实施例的群集工具的平面图。
图5为根据本发明的一个实施例的处理顺序的流程图。
图6为根据本文所描述的诸个实施例的可用于形成多腔室复合氮化物半导体的处理的流程图;
图7为根据本文所描述的诸个实施例的可用于形成多腔室复合氮化物半导体的另一个处理的流程图;
图8为根据本发明的一个实施例的群集工具的平面图。
图9为根据本发明的一个实施例的处理顺序的流程图。
为了促进理解,在可能情况下使用相同的组件符号来指示对多个附图所共有的相同组件。已经构想到在一个实施例中所揭示的组件可在不进行特定叙述的情况下被有利地利用在其它实施例中。
具体实施方式
本发明一般提供了一种使用多腔室处理系统(例如,群集工具)来同时处理基板的装置及方法,所述多腔室处理系统具有增大的系统吞吐量、增大的系统可靠性以及增大的基板至基板均匀性。
在一个实施例中,处理系统适于制造复合氮化物半导体设备,其中将基板安置于HVPE腔室或MOCVD腔室中,在HVPE腔室或MOCVD腔室中将缓冲GaN层及n型掺杂GaN层沉积于基板上,随后将基板移送至第二腔室,在所述第二腔室中将多重量子阱(MQW)层及p型掺杂AlGaN层沉积于所述n型掺杂GaN层上。
在另一个实施例中,处理系统适于制造复合氮化物半导体设备,其中将基板安置于HVPE腔室或MOCVD腔室中,在HVPE腔室或MOCVD腔室中将缓冲GaN层及n型掺杂GaN层沉积于基板上,随后将基板移送至第二腔室,在所述第二腔室中将MQW层沉积于n型掺杂GaN层上,并随后将基板移送至第三腔室,在所述第三腔室中将p型掺杂AlGaN层沉积于MQW层上。
在另一个实施例中,处理系统适于制造复合氮化物半导体设备,其中将缓冲GaN层在第一处理腔室中沉积于基板上,随后将基板移送至第二腔室中,在所述第二腔室中将n型掺杂GaN层沉积于缓冲GaN层上,随后将基板移送至第三腔室,在所述第三腔室中将MQW层沉积于n型掺杂GaN层上,且随后将基板移送至第四腔室,在所述第四腔室中将p型掺杂AlGaN层沉积于MQW层上。
在复合氮化物半导体结构的常规制造中,在单个处理反应器中执行多个外延沉积步骤,并且直到已经完成所有步骤之后基板才离开反应器。图1A为基于镓及氮化物的LED结构10的示意截面图。在清洁过程之后,在蓝宝石(0001)基板4上制造LED结构10。示范性过程可在约1050℃下执行10分钟。可同时进行清洁过程需要额外约10分钟时间来升温及冷却。
将GaN缓冲层12沉积于经清洁的基板4上。可通过HVPE处理或MOCVD处理来形成GaN缓冲层12。举例而言,可通过以下步骤来沉积GaN缓冲层12:将镓前体流和氮前体流提供至处理腔室,并使用热处理来完成沉积。典型的GaN缓冲层12具有约
Figure BPA00001449500200051
的厚度,其可在约550℃的温度下被沉积约五分钟。
随后,在GaN缓冲层12上沉积n-GaN(n型掺杂GaN)层16。n-GaN层16可通过HVPE处理或MOCVD处理来形成。在一个实施例中,可在更高的温度(例如,约1050℃)下沉积n-GaN层16。n-GaN层16是相对厚的,沉积约4μm的厚度需要约140分钟。
随后在n-GaN层16上沉积InGaN多重量子阱(MQW)层20。InGaN MQW层20可具有约
Figure BPA00001449500200052
的厚度,并在约750℃下需要约40分钟来形成。
在MQW层20上沉积p-AlGaN(p型掺杂AlGaN)层24。p-AlGaN层24可具有约
Figure BPA00001449500200053
的厚度,并在约950℃的温度下需要约五分钟来形成。
随后,在p-AlGaN层24上沉积p-GaN(p型掺杂GaN)接触层28。p-GaN接触层28可具有约0.4μm的厚度,在约1050℃下需要约25分钟来形成。
在单个反应器中在单个阶段中地执行多个沉积步骤的常规制造导致处理时间较长,通常约4小时至6小时。这个较长处理时间导致低反应器吞吐量。通常通过使用批处理技术来解决低反应器吞吐量。举例而言,用于制造处理的商用反应器可同时对20个至50个两英寸晶圆进行操作,其导致相对不良产率。本发明的诸个实施例通过在制造中使用多个处理腔室以形成多个层来进一步增大反应器吞吐量,每一处理腔室都被调节以更好地执行特定沉积。在一个实施例中,每一处理腔室可具有被调节用于特定处理的硬件。在另一个实施例中,可调整每一处理腔室的处理参数(诸如,温度、压力、流量比)以加速特定处理。
图1B是形成于含氧化铝基板5上的基于GaN的LD结构50的示意图。含氧化铝基板5可与图1A的含氧化铝基板4类似。基板5可由诸如蓝宝石(0001)之类的固体氧化铝来形成。基板5也可也是合成基板,所述合成基板具有含氧化铝的表面以在其上制造复合氮化物结构。
在一个实施例中,在热清洁过程和预处理处理之后,在基板5上形成LD结构50。可通过在对基板5进行加热的同时将基板5暴露于包含氨气和载气的清洁气体混合物中来执行热清洁过程。在一个实施例中,预处理处理包含以下步骤:将基板暴露于预处理气体混合物并同时将基板加热至高温范围。在一个实施例中,预处理气体混合物是包含卤素气体的蚀刻剂。
LD结构50是形成于基板5上的堆栈。LD结构50始于n型GaN接触层52。LD结构50进一步包括n型包覆层54。包覆层54可包括AlGaN。无掺杂引导层56被形成于包覆层54之上。引导层56可包括InGaN。具有多重量子阱(MQW)结构的活性层58被形成于引导层56之上。无掺杂引导层60被形成于活性层58之上。p型电子阻隔层62被形成于无掺杂引导层60之上。p型接触GaN层64被形成于p型电子阻隔层62之上。
图2A是根据一个实施例的HVPE腔室200的示意图。所述装置包括由盖204封闭的腔室202。来自第一气体源211的处理气体经由气体分配喷淋头206被传送至腔室202。在一个实施例中,第一气体源211可包括含氮化合物。在另一个实施例中,第一气体源211可包括氨气。在一个实施例中,也可经由气体分配喷淋头206或经由腔室202的壁208引入诸如氦气或双原子氮气之类的惰性气体。可将能量源212安置于第一气体源211与气体分配喷淋头206之间。在一个实施例中,能量源212可包括加热器。能量源212可将来自第一气体源211的气体(诸如氨气)进行分解,以使得来自含氮气体的氮更具活性。
为了与来自第一气体源211的气体进行反应,可从一个或更多个前体源218传送前体材料。一个或更多个前体源218可包括诸如镓和铝之类的前体。应理解到,尽管提及了两种前体,但是可如上文所论述那样传送更多或更少种前体。在一个实施例中,前体包括呈液体形式存在于前体源218中的镓。在另一个实施例中,前体包括呈固体形式存在于前体源218中的铝。在一个实施例中,铝前体可呈固体、粉末形式。可通过将活性气体流过前体源218中的前体的上方和/或流经通过前体源218中的前体,而将前体传送至腔室202。在一个实施例中,活性气体可包括含氯气体,诸如双原子氯气。含氯气体可与诸如镓或铝之类的前体源进行反应以形成氯化物。在一个实施例中,一个或更多个第二源218可包括共晶材料及共晶材料的合金。在另一个实施例中,HVPE装置200可被配置以操纵掺杂源和至少一个特征源以控制掺杂物浓度。
本发明的实施例通过使含氯气体蛇行穿过源模块232中的舟皿区域(boatarea)并用电阻加热器220加热含氯气体(因此增加了含氯气体蛇行穿过源模块232的滞留时间)而提高了含氯气体与前体进行反应的效能。可控制含氯气体的温度。通过升高含氯气体的温度,氯可与前体更快地进行反应。换言之,温度是氯与前体的反应的催化剂。
为了增大前体的活性,可由电阻加热器220在源模块232内舟皿中对前体进行加热。举例而言,在一个实施例中,可将镓前体加热至约750摄氏度至约850摄氏度之间的温度。随后可将氯化物反应产物传送至腔室202。反应氯化物产物首先进入管222,反应氯化物产物均匀分散于管222中。将管222连接至另一管224。氯化物反应产物在第一管222中均匀分散之后进入第二管224。随后,氯化物反应产物进入腔室202,在腔室202中氯化物反应产物与含氮气体混合以在安置于基座214上的基板216上形成氮化物层。在一个实施例中,基座214可包括碳化硅。氮化物层可包括例如氮化镓或氮化铝。诸如氮气和氯气之类的其它反应产物经由排气装置226排出。
传统上,在HVPE期间,首先将含氯气体(例如,HCl)在热壁反应器的源区域中与金属前体(三甲基镓)反应以形成金属氯化物,随后将金属氯化物在热壁反应器的下游反应区域中与氮源进行化合。与传统HVPE腔室设计相比,通过增加氯气的滞留时间以控制含氯气体的温度,HVPE腔室200提高了含氯气体的效能。因为通过含氯气体的温度升高加速了氯化物产生,所以HVPE腔室200亦提高了效率。
腔室202可具有可导致浮力效应的热梯度。举例而言,在约450摄氏度与约550摄氏度之间的温度下,经由气体分配喷淋头206引入基于氮的气体。腔室壁208可具有约600℃至约700℃的温度。基座214可具有约1050℃至约1150℃的温度。因此,腔室202中的温差可容许气体在腔室202中在被加热时上升,且随后在冷却时下降。气体的上升及下降可使得氮气与氯化物气体混合。另外,由于所述混合,浮力效应将减少沉积于壁208上的氮化镓或氮化铝的量。
通过用安置于基座214下的灯模块228对基座214进行加热来达成对处理腔室202的加热。在沉积期间,灯模块228是处理腔室202的主要热源。尽管被示出并描述为灯模块228,但是应了解可使用其它加热源。可通过使用嵌入腔室202的壁208中的加热器209来达成对处理腔室202的额外加热。在沉积处理期间,嵌入壁208中的加热器209可提供极少(若有的话)的热量。可用热电偶来测量处理腔室内的温度。可将热电偶的输出反馈至控制器,所述控制器基于来自热电偶的读数来控制加热器209的加热。举例而言,若腔室过冷,则将开启加热器209。若腔室过热,则将关闭加热器209。另外,可控制来自加热器209的加热量,以使得加热器209提供低热量。
在沉积处理之后,通常从处理腔室202取出基板216。关闭灯模块228。由于没有来自灯模块228的热量,腔室202可快速冷却。已经沉积于壁208上的氮化镓或氮化铝可具有与壁208自身不同的热膨胀系数。因此,由于热膨胀,氮化镓或氮化铝可剥落。为了阻止非期望的剥落,可开启嵌入腔室壁208中的加热器209,以控制热膨胀并将腔室202维持在所期望的腔室温度下。对加热器209的控制可再次基于热电偶的实时反馈。一旦关闭灯模块228,即可开启或开大加热器209以将腔室202的温度维持在所期望的温度,以使得氮化镓或氮化铝可不剥落且不污染基板或者不落在基座214上而产生不均匀的基座214表面。通过将腔室壁208维持在高温,氯气将更有效地自腔室壁208清洁沉积。
大体而言,沉积处理将如下进行。起初,可将基板216插入处理腔室202并将其安置于基座214上。在一个实施例中,基板216可包括蓝宝石。可开启灯模块228以加热基板216且相应地加热腔室202。可自第一气体源211将含氮活性气体引至处理腔室。含氮气体可通过诸如气体加热器之类的能量源212以使含氮气体达到更具活性的状态。随后,含氮气体通过腔室盖204和气体分配喷淋头206。在一个实施例中,腔室盖204可被水冷。
也可将前体传送至腔室202。含氯气体可流经前体源218中的前体和/或流过前体源218中的前体的上方。随后,含氯气体与前体进行反应以形成氯化物。在源模块232中氯化物由电阻加热器220来加热,且随后氯化物被传送入上部管222,氯化物均匀地分散于管222中。随后,在将氯化物气体引入腔室202的内部之前,氯化物气体向下流入其它管224。应理解,尽管已论述了含氯气体,但是本发明并不限于含氯气体。相反,可在HVPE处理中使用其它化合物。腔室壁208可具有从嵌入壁208中的加热器209所产生的最小热量。腔室202中的大部分热量是由基座214下的灯模块228所产生的。
由于腔室202中的热梯度,氯化物气体和含氮气体在处理腔室202中上升并下降,并因此混合而形成沉积于基板216上的氮化物化合物。除了沉积于基板216上之外,氮化物层也可可沉积于腔室202的其它暴露区域上。氯化物化合物与含氮气体的气体反应产物可包括氯气和氮气,氯气和氮气可经由排气装置226排出腔室。
一旦完成沉积处理,即可关闭灯模块228且可增大加热器209的输出。可移除基板216。加热器209的输出减小或消除了热膨胀,且因此任何沉积的氮化物材料可保持处于原位直到达到所期望的清洁时间为止,并且沉积的氮化物材料可不从壁208上剥落并落在输入/输出基板216的基座214上。一旦完成沉积处理,即可通过引入蚀刻剂以从壁208上蚀刻掉氮化物来移除已沉积于壁208上的任何氮化物。在清洁期间,可关闭灯模块228且大部分热量可来自嵌入壁208中的加热器209。一旦将新的基板216放入腔室202,即可重复处理。
尽管经论述含氮气体是经由气体分配喷淋头206被引入的且前体是传送在对应于腔室202中间的区域中,但是应理解,气体引入位置是可逆的。然而,若经由喷淋头206引入前体,则可加热喷淋头206以提高氯化物反应产物的活性。
图2B是根据另一个实施例的HVPE腔室300的示意等比例视图。HVPE腔室300包括:第一前体源302、第二前体源304、用于氯气通过的通道306、上部环308、下部环310及侧壁312。氯化物反应产物可经由第一上部管314进入腔室,且随后在经由连接器318流入第二管316之前均匀地分散于所述腔室中,所述连接器318分布于管314、管316之间。在一个实施例中,上部环308及下部环310包括不透明石英。在一个实施例中,侧壁312可包括透明石英。在另一个实施例中,管314、管316可包括透明石英。安置于下部环310中的下部衬垫可包括不透明石英。环308、环310可具有自侧壁312延伸出来的唇322。可将O形环安置于唇322的边缘外以确保这些O形环尽可能地远离受热侧壁312及灯模块。O形环通常可用直到约250℃为止。因此,将O形环移离腔室主体是有利的。
图3是根据本发明的一个实施例的MOCVD装置的示意图。
装置100包括:腔室102、气体传送系统125、远程等离子体源126及真空系统112。腔室102包括:围绕一处理区域108的腔室主体103。喷淋头组件104被安置在处理区域108的一个端部,基板载具114被安置在处理区域108的另一个端部。下部罩119被安置在下部空间110的一个端部,基板载具114被安置在下部空间110的另一个端部。虽然基板载具114被示出为处于处理位置,但是可将它移至下部位置,在所述下部位置上(例如)可装载或卸载基板140。可将排气环120安置在围绕基板载具114的外围,以助于防止在下部空间110中发生沉积并且也助于将废气从腔室102导向至排气口109。下部罩119可由诸如高纯度石英之类的透明材料制成,以允许光通过进而辐射加热基板140。辐射加热可由安置于下部罩119下方的多个内部灯121A和外部灯121B提供,且反射器166可被用以帮助控制腔室102暴露于由内部灯121A和外部灯121B所提供的辐射能量。也可使用额外的灯环来对基板140进行良好的温度控制。
基板载具114可包括一个或更多个凹部116,在处理期间可将一个或更多个基板140安置于所述一个或更多个凹部116中。基板载具114可承载六个或六个以上的基板140。在一个实施例中,基板载具114承载八个基板140。应理解,可在基板载具114上承载更多或更少的基板140。典型的基板140可包括蓝宝石、碳化硅(SiC)、硅、或氮化镓(GaN)。应理解,可处理其它类型的基板140,诸如玻璃基板140。基板140的大小可以是直径50mm-150mm的范围内或更大。基板载具114的的大小可以是200mm-750mm的范围内。基板载具114可由各种材料形成,包括SiC或涂覆SiC的石墨。应理解,可在腔室102中并根据本文所描述的处理来处理其它尺寸的基板140。如本文所描述的,喷淋头组件104可允许与在传统MOCVD腔室中相比在更多数目的基板140上和/或在更大基板140上形成更均匀的沉积,因此提高了吞吐量并降低了每一基板140的处理成本。
在处理期间,基板载具114可绕轴进行旋转。在一个实施例中,基板载具114可按约2RPM至约100RPM而进行旋转。在另一个实施例中,基板载具114可按约30RPM进行旋转。旋转基板载具114有助于提供对基板140的均匀加热以及处理气体对每一基板140的均匀暴露。
可将多个内部灯121A和外部灯121B配置于同心圆或同心区域(未示出)中,且可对每一个灯区域单独供电。在一个实施例中,可将一个或更多个温度传感器(诸如,高温计)(未示出)安置于喷淋头组件104中,以测量基板140和基板载具114的温度,并可将温度数据发送至控制器(未示出),所述控制器可调节对单独灯区域的供电以维持横跨基板载具114的预定温度分布。在另一个实施例中,可调节对单独灯区域的供电以补偿前体流或前体浓度的不均匀性。举例而言,若在靠近外部灯区域的基板载具114区域中前体浓度较低,则可调节对外部灯区域的供电以帮助补偿此区域中的前体消耗。
内部灯121A和外部灯121B可将基板140加热至约400℃至约1200℃的温度。应理解,本发明并不限于使用内部灯121A和外部灯121B的阵列。可利用任何适合的热源以确保将适当温度充分地施加至腔室102及其中的基板140。举例而言,在另一个实施例中,热源可包括与基板载具114热接触的电阻加热组件(未示出)。
气体传送系统125可包括多个气体源,或,取决于正在运行的处理而定,一些源可以是液体源而非气体,在此状况下,气体传送系统可包括用于气化液体的液体注入系统或其它装置(例如,起泡器)。随后,蒸气可在传送至腔室102之前被与载气混合。可从气体传送系统125将不同气体(诸如,前体气体、载气、净化气体、清洁/蚀刻气体或其它气体)供应至分离的供应线131、供应线132及供应线133进而供应至喷淋头组件104。供应线131、供应线132及供应线133可包括关闭阀和质量流量控制器或其它类型的控制器以监控并调节或关闭每一线中的气流。
在一个实施例中,气体传送系统125包括两个或两个以上的源,诸如源131A和源132A。在一个实施例中,源131A被配置为传送处理气体,诸如氮气(N2)、氨气(NH3)、氢气(H2)或其它MOCVD或HVPE处理气体;气体源132A被配置为传送含前体气体,诸如金属有机(MO)前体。在一个示例中,含前体气体包括含镓前体,诸如氯化镓(GaCl)。或者,在一些状况下,源131A被配置为传送含前体气体且源132A被配置为传送处理气体。在一个示例中,金属有机(MO)前体是III族前体,诸如三甲基镓(TMG)、三甲基铝(TMAl)和/或三甲基铟(TMI),但是也可使用其它适当MO前体。
导管129可接收来自远程等离子体源126的清洁/蚀刻气体。远程等离子体源126可经由供应线124接收来自气体传送系统125的气体,且可将阀130安置于喷淋头组件104与远程等离子体源126之间。可开启阀130以允许清洁和/或蚀刻气体或等离子体经由供应线133流入喷淋头组件104,供应线133可适于充当等离子体的导管。在另一个实施例中,装置100可不包括远程等离子体源126,且对于非等离子体清洁和/或蚀刻而言,可使用替代供应线配置将清洁/蚀刻气体自气体传送系统125传送至喷淋头组件104。
远程等离子体源126可以是适于清洁腔室102和/或蚀刻基板140的射频或微波等离子体源。可经由供应线124将清洁和/或蚀刻气体供应至远程等离子体源126,以产生等离子体物质,等离子体物质可经由导管129及供应线133被发送,用于经由喷淋头组件104被分散入腔室102。用于清洁应用的气体可包括氟、氯或其它活性元素。用于清洁应用的气体可包括:含卤素气体,诸如氟气(F2)或氯气(Cl2)或包括氯化氢(HCl)的蒸气。
在另一个实施例中,可适当地配置气体传送系统125和远程等离子体源126,以前体气体可被供应至远程等离子体源126以产生等离子体物质,等离子体物质可经由喷淋头组件104被发送以(例如)在基板140上沉积CVD层,诸如III-V族薄膜。
可将净化气体(例如,氮气)从喷淋头组件104和/或从安置于基板载具114下方并靠近腔室主体103底部的进气口或进气管(未示出)传送入腔室102。净化气体进入腔室102的下部空间110并向上流过基板载具114和排气环120并流入多个排气口109,所述多个排气口109围绕环形排气通道105而设置。排气导管106将环形排气通道105连接至包括真空泵(未示出)的真空系统112。可使用阀系统107来控制腔室102压力,阀系统107控制从环形排气通道105抽吸排气的速率。
腔室102也可包括热交换系统170,所述热交换系统170被用于控制喷淋头组件104的各种表面的温度。热交换系统170可包括热交换器170A,热交换器170A经由进气导管171和出气导管172被耦接至形成在喷淋头组件104中的一个或更多个热交换通道175(图2)。热交换流体流经热交换通道175,热交换通道175被用于帮助调节喷淋头组件104的温度。合适的热交换流体包括:水、基于水的乙二醇混合物、全氟聚醚(perfluoropolyether,例如,Galden
Figure BPA00001449500200131
流体)、基于油的热传递流体、或类似流体。可经由热交换器170A来循环热交换流体,以根据需要来升高或降低热交换流体的温度,从而将喷淋头组件104的温度维持在所期望的温度范围内。在一个实施例中,将热交换流体维持在约20℃至约120℃的温度范围内。在另一个实施例中,可将热交换流体维持在约100℃至约350℃的温度范围内。在又一个实施例中,可将热交换流体维持在高于350℃的温度。也可将热交换流体加热至高于其沸点的温度,由此使用容易获得的热交换流体而将喷淋头组件104维持在较高温度。又,热交换流体可以是液体金属,诸如镓或镓合金。
也可调节热交换流体的流速以帮助控制喷淋头组件104的温度。另外,可设计围绕热交换通道175的壁179和180的厚度以促进对各种喷淋头表面的温度调节。
期望对各种喷淋头组件104的特征结构(诸如,气体导管147、壁180、及喷淋头表面183)的温度进行控制,以减少或消除喷淋头组件104上冷凝物的形成以及减少气相粒子形成,并防止不期望的前体反应产物的产生,这些不期望的前体反应产物可对沉积于基板140上的薄膜组合物造成不利影响。在一个实施例中,将一个或更多个热电偶或其它温度传感器放置为接近喷淋头表面183、热交换通道175和/或壁180,以测量喷淋头温度。在另一个实施例中,将一个或更多个热电偶或其它温度传感器放置为接近进气导管171和/或出气导管172。可将一个或更多个热电偶或其它温度传感器所测量的温度数据发送至控制器199,控制器199可调节热交换流体温度和流速,以将喷淋头温度维持在预定范围内。在一个实施例中,可将喷淋头温度维持在约50℃至约350℃。在另一个实施例中,可将喷淋头温度维持在高于350℃的温度下。
在基板140处理期间,喷淋头组件104被定位为靠近基板载具114。在一个实施例中,在处理期间,喷淋头表面183到基板载具114的距离可在约4mm至约41mm的范围内。在一个实施例中,在处理期间,喷淋头表面183与基板140共平面且面向基板140。
在一个实施例中,在处理期间,处理气体152从喷淋头组件104中的气体导管147流出,含前体气体153从在喷淋头组件104中形成的气体导管181流向基板140表面。如上文所提及,处理气体152和/或含前体气体153可包括一种或多种前体气体或处理气体,以及可与前体气体混合的载气和掺杂气体。环形排气通道105的抽吸可影响气体流,使得处理气体152大体上与基板140正切地流动并可以层流中呈辐射状均匀分散于基板140沉积表面上。可将处理区域108维持在约760托尔下至约80托尔的压力。
在处理气体152和/或含前体气体153中可发现的前体在基板140表面上或靠近基板140表面处的反应可在基板140上沉积各种金属氮化物层,包括GaN、氮化铝(AlN)和氮化铟(InN)。多种金属也可被用于其它化合物薄膜(诸如AlGaN和/或InGaN)的沉积。另外,可将诸如硅(Si)或镁(Mg)之类的掺杂剂添加至薄膜。在沉积处理期间,可通过添加少量掺杂气体来掺杂薄膜。为了硅掺杂,可使用(例如)甲硅烷(SiH4)或二硅烷(Si2H6)气体,而为了镁掺杂,掺杂气体可包括双(环戊二烯)镁(Cp2Mg或(C5H5)2Mg)。
在一个实施例中,喷淋头组件104包括:热交换通道175、第一压力通风室144、第二压力通风室145和气体导管147。热交换通道175环绕气体导管147,气体导管147伸出穿过热交换通道175,以使得热交换流体可环流并冷却流经气体导管147的中心区域147A的气体或蒸气。气体导管147的中心区域147A与第二压力通风室145及处理区域108形成流体连通。在此配置中,热交换通道175被安置于第一压力通风室144与第二压力通风室145之间,以控制从中传送穿过的气体或蒸气的温度。参见图2,可以认识到,仅需要一半气体导管(例如,气体导管147)延伸穿过热交换通道175的气体导管与热交换通道的配置将大幅减少热交换流体在气体导管(例如,气体导管147)与壁(例如,壁179及壁180)之间形成的接合面上漏入第一压力通风室144或第二压力通风室145的几率。仅需要一半气体导管延伸穿过热交换通道175,因为仅将一个气体压力通风室(例如,第二气体压力通风室145)安置于热交换通道175的与处理区域108相对的一侧,而从第一压力通风室144排出的气体直接进入处理区域108。此外,通过将热交换通道175放置为以使它不直接邻接于处理区域108,大幅减少了热交换流体漏液到达处理区域108的几率,因此降低了腔室和基板140的损坏几率。也可以认识到,在用于形成LED和LD产品的典型处理温度(诸如,>750℃)下,由于当液态热交换流体变为气体时所产生的相变化,热交换流体漏入处理区域108可能很危险。
在一个实施例中,中部平板210包括多个气体导管147,多个气体导管147被安置在中部平板孔240中且向下延伸穿过热交换通道175并延伸入位于底部平板233中的底部平板孔250和251。通过使用铜焊或焊接技术,可将气体导管147密封地耦接至中部平板210和底部平板233中的壁180以防止热交换流体进入第一压力通风室144或第二压力通风室145,在一个实施例中气体导管147为金属管(例如,SST、铝)。在一个实施例中,可将气体导管147密封地耦接至中部平板210、壁180和壁185以确保流经第一压力通风室144、第二压力通风室145和热交换通道175的流体都被彼此隔离。经由形成在底部平板233的壁185中的导管181,将第一压力通风室144流体耦接至处理区域108。在一个实施例中,底部平板233包括:上部平板233A和下部平板233B,它们可被密封地耦接在一起以形成第一压力通风室144并防止从源131A传送的材料从喷淋头组件104的非期望的区域的泄漏。在一个实施例中,顶部平板230、中部平板210、上部平板233A和下部平板233B由金属形成,诸如316L不锈钢、INCONEL
Figure BPA00001449500200151
HASTELLOY
Figure BPA00001449500200152
无电的镀镍铝、纯镍、以及抗化学侵蚀的其它金属及合金、或甚至石英。
喷淋头组件104经由耦接至气体传送系统125的供应线131、供应线132和供应线133接收气体。在另一个实施例中,每一条供应线131、132可包括耦接至喷淋头组件104并与喷淋头组件104形成流体连通的多条线。在一个实施例中,第一前体气体154(图3)和第二前体气体155分别经由供应线131和供应线132流入第一压力通风室144和第二压力通风室145。在一个实施例中,非活性气体可流过供应线133,供应线133被配置为选择性地将非活性气体传送至喷淋头组件104中的各种区域,所述非活性气体可以是惰性气体(诸如,氢气(H2)、氮气(N2)、氦气(He)、氩气(Ar))或其它气体及其组合。
在一个实施例中,经由中心导管148将清洁和/或蚀刻气体或等离子体传送入腔室102。中心导管148适于将清洁和/或蚀刻气体或等离子体分散于腔室102中,以提供更有效的清洁。在其它实施例中,装置100适于经由其它路线(诸如经由喷淋头组件104中的气体导管147和/或导管181)将清洁和/或蚀刻气体或等离子体传送入腔室102内。在一个实施例中,使用氟或基于氯的等离子体来蚀刻或清洁。在其它实施例中,使用卤素气体(诸如Cl2、Br及I2)或卤化物(诸如HCl、HBr及HI)来进行非等离子体蚀刻。
第二前体气体155从供应线132流入第二压力通风室145并流入气体导管147,气体导管147与处理区域108形成流体连通。第一压力通风室144不与第二压力通风室145形成流体连通,以使得第一前体气体154和第二前体气体155保持隔离直到被注入腔室102的处理区域108为止。
在一个实施例中,传送至第一压力通风室144的第一前体气体154可包括Ⅴ族前体,传送至第二压力通风室145的第二前体气体155可包括III族前体。在另一个实施例中,可切换前体的递送以使得将Ⅴ族前体传输至第二压力通风室145而将III族前体传输至第一压力通风室144。前体可部分地基于压力通风室与热交换通道175之间的距离以及为每一压力通风室及压力通风室中的前体维持所期望的温度范围,选择用于给定前体的第一压力通风室144或第二压力通风室145。Ⅴ族前体可以是氮前体,诸如氨气(NH3)。
多腔室处理
图4是根据本发明的一个实施例的群集工具500的平面图。群集工具500被配置为形成用于LED的氮化物化合物结构。
群集工具500包括:移送腔室506、耦接至移送腔室的装载锁定腔室508、耦接至装载锁定腔室508的装载站510,以及耦接至移送腔室506的批量装载锁定腔室509。
群集工具500包括:连接至移送腔室506的三个处理腔室502、503、504。在一个实施例中,处理腔室502、处理腔室503、处理腔室504被配置为有效地形成LED结构的不同层。
在一个实施例中,第一处理腔室502是耦接至移送腔室506的HVPE腔室,处理腔室503、处理腔室504是MOCVD腔室。在另一个实施例中,所有三个处理腔室502、503、504都是MOCVD腔室。
在一个实施例中,HVPE腔室502可类似于图2A的HVPE腔室200。HVPE腔室502适于执行HVPE处理,在HVPE处理中气态金属卤化物被用于在受热基板上外延生长厚的复合氮化物半导体材料层。HVPE腔室502可被配置为对基于氮化物的LED结构中的n型掺杂III族金属氮化物层的沉积速率进行最优化。MOCVD腔室503、504可类似于图3A的MOCVD腔室100。MOCVD腔室503、504适于执行CVD处理,在CVD处理中金属有机元素与金属氢化物元素进行反应以形成薄的复合氮化物半导体材料层。
群集工具500进一步包括:与移送腔室506耦接的装载锁定腔室508,以及与装载锁定腔室508耦接的装载站510。装载锁定腔室508和装载站510被配置为经由移送腔室506将基板装载至第一处理腔室(HVPE腔室)502和第二处理腔室(MOCVD腔室)504。在一个实施例中,群集工具500进一步包括:与移送腔室506耦接的批量装载锁定腔室509,批量装载锁定腔室509被配置用于储存基板。
装载站510被配置作为大气界面,以允许操作者将待处理的多个基板装载入装载锁定腔室508的受限环境中,并从装载锁定腔室508卸载多个已处理基板。在一个实施例中,可将待处理的基板分批地聚集,并由托运板512上的传送盘511来传输。
在另一个实施例中,装载站510可以是自动基板装载器,用于向多腔室处理系统装载基板并从多腔室处理系统卸载基板。自动基板装载器包括:盒处理机构、被配置为对基板进行校准的基板校准器,以及托运盘校准器。自动基板装载器进一步包括:第一机器人,被配置为在基板校准器与基板储存盒之间移送基板;第二机器人,被配置为在基板校准器与安置于托运盘校准器上的托运盘之间移送基板。自动基板装载器进一步包括:第三机器人,被配置为在自动基板装载器与基板处理系统之间移送基板载具。在一个实施例中,盒处理机构、基板校准器和托运盘校准器被安排为使得第一机器人、第二机器人和第三机器人仅进行线性运动,因此简化了系统。
装载锁定腔室508提供了装载站510的大气环境与移送腔室506的受控环境之间的接口。经由槽板阀在装载锁定腔室508与装载站510之间移送基板,且经由另一槽板阀在装载锁定腔室508与移送腔室506之间移送基板。在一个实施例中,装载锁定腔室508可包括垂直堆叠的多个载体支撑件。载体支撑件可垂直移动以便于托运板512的装载及卸载。
将装载锁定腔室508耦接至压力控制系统(未示出),所述压力控制系统对装载锁定腔室508进行抽气和减压,以便于在移送腔室506的真空环境与装载站510的基本外界(例如,大气)环境之间传递基板。另外,装载锁定腔室508也可包括用于温度控制的特征结构。
通常将移送腔室506维持在真空状态或低压状态。在一个实施例中,移送腔室506可具有由惰性气体(诸如氦气及氮气)、还原气体(诸如氨气)或以上组合所维持的受控环境。
机器人组件517可操作地在装载锁定腔室508、批量装载锁定腔室509、MOCVD腔室504和HVPE腔室502之间拾取并移送基板。在一个实施例中,机器人组件517可包括:受热端部效应器,该受热端部效应器被配置为在移送期间将基板保持在高温下。在一个实施例中,在处理腔室之间移送基板的期间将基板维持在高于约350℃的温度下。
批量装载锁定腔室509具有空腔,所述空腔用于储存其中的托运板512上放置的多个基板。储存盒被可移动地安置于空腔中。储存盒可包括:由框架支撑的多个储存架。在一个实施例中,批量装载锁定腔室509可被配置为在处理之前清洁基板。在一个实施例中,批量装载锁定腔室509可具有一个或更多个加热器,且可被连接至惰性气体源和/或清洁气体源以在处理之前对基板执行热清洁,所述一个或更多个加热器被配置为对安置于批量装载锁定腔室509中的基板进行加热。
一旦已在装载锁定腔室508中就位了装载有基板的托运板,即可将托运板移送至MOCVD腔室504、MOCVD腔室503或HVPE腔室502以进行处理,或将托运板移送至批量装载锁定腔室509,在批量装载锁定腔室509中储存有多个等待处理的托运板。
在操作期间,将含有一批基板的托运板512装载于装载站510中的传送盘511上。随后将传送盘511经由槽板阀移入装载锁定腔室508,将托运板512放置于装载锁定腔室508内的载体支撑件上,而传送盘返回装载站510。当托运板512在装载锁定腔室508内时,用惰性气体(诸如氮气)泵吸并净化装载锁定腔室508,以移除任何剩余的氧气、水蒸气及其它类型的污染物。在批量装载锁定腔室509中就位该批基板之后,机器人组件517可将托运板512移送至MOCVD腔室504或HVPE腔室502以经受沉积处理。在替代性实施例中,可将托运板512移送并储存于批量装载锁定腔室509中,等待在MOCVD腔室504或HVPE腔室502中的处理。在完成该批基板的处理之后,可将托运板512移送至装载锁定腔室508,且随后托运板512由传送盘511取回并被返回给装载站510。
处理腔室502被配置为在沉积MQW层之前沉积LED结构的诸个层。在一个实施例中,处理腔室502是HVPE腔室,该HVPE腔室被配置为迅速形成n型掺杂GaN。在另一个实施例中,处理腔室502可以是MOCVD腔室。
在一个实施例中,处理腔室503被配置为沉积LED结构的MQW层。在一个实施例中,处理腔室503可以是MOCVD腔室,该MOCVD腔室被配置为形成包括InGaN的MQW层。
在一个实施例中,处理腔室504被配置为沉积LED结构的MQW层之后的后续诸个层。在一个实施例中,处理腔室504是MOCVD腔室。
在一个实施例中,处理腔室502可以是与图2A的HVPE腔室200相类似的HVPE腔室。处理腔室502适于执行HVPE处理,其中使用气态金属卤化物在受热基板上外延生长厚的复合氮化物半导体材料层。MOCVD腔室503、504可与图3A的MOCVD腔室100类似。
图5是根据本发明的一个实施例的处理530的流程图。处理顺序530描述了一种使用群集工具500来制造基于氮化镓的LED结构的方法。
在处理顺序530的方块532中,将多个基板移送至第一处理腔室,诸如处理腔室502。
在处理顺序530的方块534中,在处理腔室502中清洁多个基板。可通过将清洁气体流至处理腔室502长达预定时段(例如约10分钟)并处于高温(例如约1050℃)下来执行清洁程序。
在处理顺序530的方块536中,在已清洁的基板上快速形成GaN缓冲层。GaN缓冲层是通过HVPE处理由镓前体及氮前体所形成的。
在处理顺序530的方块538中,通过HVPE处理在缓冲层上形成n型掺杂GaN层。在一个实施例中,处理腔室502是HVPE腔室,该HVPE腔室被配置为执行n型掺杂GaN层的快速沉积。
在处理顺序530的方块540中,经由移送腔室506将多个基板从处理腔室(HVPE腔室)502移送至处理腔室(MOCVD腔室)503。在一个实施例中,所述移送是经由移送腔室506在高纯度N2大气下进行的。
在处理顺序530的方块542中,通过MOCVD处理在基板上形成包括铟、镓及氮(InGaN)的多重量子阱(MQW)层。处理腔室(MOCVD腔室)503适于提供高度均匀的沉积,但或许以降低总沉积速率为代价。
在处理顺序530的方块544中,经由移送腔室506将基板从处理腔室(MOCVD腔室)503移送至处理腔室(MOCVD腔室)504。
在处理顺序530的方块546中,在处理腔室(MOCVD腔室)504中,在基板上形成p型掺杂AlGaN层。
在处理顺序530的方块548中,在处理腔室(MOCVD腔室)504中,在基板上形成p型掺杂GaN层。
在处理顺序530的方块550中,将基板移出处理腔室(MOCVD腔室)504并移出群集工具500。
通过使用HVPE腔室以快速形成n型掺杂GaN层并使用MOCVD腔室以均匀地形成MQW层和后续诸个层,本发明的诸个实施例提高了吞吐量同时维持均匀性。通过使用两个分离的MOCVD腔室来形成InGaN层和AlGaN层,本发明的诸个实施例通过消除了在同一腔室中形成InGaN层和AlGaN层时对处理腔室所进行的清洁和调整,从而来进一步提高了系统吞吐量。
图6是根据本文所描述的诸个实施例的可被用于多腔室复合氮化物半导体形成的处理1500的流程图。在一个实施例中,可在群集工具系统(诸如图4的群集工具500)中执行处理1500。
该处理始于方块1504,将一个或更多个基板移送入第一基板处理腔室。在一个实施例中,第一基板处理腔室是MOCVD腔室。在另一个实施例中,第一基板处理腔室与HVPE腔室类似。为了氮化物结构的沉积,一个或更多个基板可包括蓝宝石,但是可使用其它材料,包括:SiC、Si、尖晶石、镓酸锂、ZnO和其它物质。
在方块1508,清洁一个或更多个基板,此后在方块1512,可设置适于氮化物层生长的诸个处理参数。这些处理参数可包括:温度、压力及类似参数,以界定处理腔室内适于热沉积氮化物层的环境。
在方块1516,在一个或更多个基板上提供前体流,进而在方块1520,在一个或更多个基板上沉积III1-N结构。在一个实施例中,前体包括:氮源和第一III族元素(诸如Ga)的源。在一个实施例中,氮前体为NH3。在另一个实施例中,氮源可以是从含氮材料远程等离子体衍生出的一个或更多个活性氮物质,诸如氮气(N2)、氧化亚氮(N2O)、氨气(NH3)、肼(N2H4)、二酰亚胺(N2H2)、迭氮酸(HN3)及类似物。在一个实施例中,氮源的流速可在约3000sccm至约9000sccm之间。合适的Ga前体包括(例如)三甲基镓(“TMG”)。第一III族元素有时可包括:多个不同的III族元素(诸如Al和Ga),在此状况下,合适的Al前体可以是三甲基铝(“TMA”)。在另一个示例中,多个不同的III族元素包括In和Ga,在此状况下,合适的In前体可以是三甲基铟(“TMI”)。也可包括选自以下组的一个或更多个载气的流:氩、氮、氢、氦、氖、氙以及它们的组合。
在方块1520的所述III1-N结构的沉积之后,在方块1524终止前体流。在方块1526,将一个或更多个基板从处理腔室移除,而不使基板暴露于大气。将基板从处理腔室中移除而不停止真空,防止了已沉积的III1-N结构被暴露于氧及碳,氧及碳充当电活性掺杂剂/杂质。
在方块1528,在真空下将基板移送至第二处理腔室。在一个实施例中,第二基板处理腔室是MOCVD腔室。
在方块1528将基板移送入第二处理腔室之后,在第二处理腔室中执行后续沉积步骤。
在方块1530,可设置适于生长III2-N层的处理参数。这些处理参数可包括温度、压力及类似参数,以界定处理腔室内适于热沉积氮化物层的环境。在方块1532,在一个或更多个基板上提供前体流,进而在方块1536,在基板上沉积III2-N结构。
在一些实施例中,III2-N结构包括III1-N层所不包括的III族元素,但是III1-N层和III2-N层可额外包括共享的III族元素。举例而言,在III1-N层是GaN的状况下,III2-N层可以是AlGaN层或InGaN层。尽管在这些示例中III2-N层具有三元组合物,但这不是必须的,且III2层可更常见地包括像四元AlInGaN层这样的的其它组合物。类似地,在III1-N层是AlGaN的实施例中,III2-N层可以是AlInGaN层上的InGaN层。用于沉积III2-N层的合适的前体可与用于III1-N层的前体类似,亦即,NH3是合适的氮前体、TMG是合适的镓前体、TMA是合适的铝前体,且TMI是合适的铟前体。也可包括选自以下组的一个或更多个载气的流:氩、氮、氢、氦、氖、氙以及它们的组合。
在方块1536的III2-N结构的沉积之后,在方块1538终止前体流。在方块1540,将一个或更多个基板从第二处理腔室移除,而不使所述一个或更多个基板暴露于大气。将一个或更多个基板自处理腔室中移除而不停止真空,防止了已沉积的III2-N结构被暴露于氧及碳,氧及碳充当电活性掺杂剂/杂质。
在方块1542,在真空下将一个或更多个基板移送至第三基板处理腔室。在一个实施例中,第三基板处理腔室是MOCVD腔室。在方块1542将一个或更多个基板移送入第三处理腔室之后,在第三处理腔室中执行后续沉积步骤。
在方块1544,可设置适于生长III3-N层的处理参数。这些处理参数可包括:温度、压力及类似参数,以界定处理腔室内适于热沉积氮化物层的环境。在方块1546,在基板上提供III3和氮前体流,进而在方块1548,在基板上沉积III3-N结构。在方块1550,终止前体流。
用于沉积III1-N层、III2-N层和III3-N层的处理条件可视特定应用而有所改变。下表1提供了在使用上文所描述的设备的氮化物半导体结构的生长中通常适用的示范性处理条件和前体流速:
  参数   值
  温度(℃)   500-1200
  压力(托尔)   5-760
  TMG流(sccm)   0-50
  TMA流(sccm)   0-50
  TMI流(sccm)   0-50
  PH3流(sccm)   0-1000
  AsH3流(sccm)   0-1000
  NH3流(sccm)   100-100,000
  N2流(sccm)   0-100,000
  H2流(sccm)   0-100,000
  Cp2Mg   0-2,000
表1
如从先前描述中可以显而易见的,处理可不使用在任何给定处理中的所有前体流。举例而言,在一个实施例中,GaN的生长可使用TMG流、NH3流和N2流;在另一个实施例中,AlGaN的生长可使用TMG流、TMA流、NH3流和H2流,其中TMA与TMG的相对流速被选择为提供沉积层的所期望的相对Al∶Ga化学计量关系;且在又一个实施例中,InGaN的生长可使用TMG流、TMI流、NH3流、N2流和H2流,其中TMI与TMG的相对流速被选择为提供沉积层的所期望的相对In∶Ga化学计量关系。
可选地,可执行清洁处理,在清洁处理中将每一个处理腔室的内部暴露于清洁气体,以在从处理腔室移除基板之后从腔室和腔室组件移除含镓沉积物。在一个实施例中,清洁处理可包括以下步骤:将腔室暴露于蚀刻剂气体,蚀刻剂气体从腔室壁和表面热蚀刻去除沉积。可选地,在清洁处理期间,可将处理腔室暴露于等离子体。用于清洁处理的清洁气体可包括:含卤素气体,诸如含氟气体、含氯气体、含碘气体、含溴气体和/或其它活性元素。也可包括选自以下组的一个或更多个载气的流:氩、氮、氢、氦、氖、氙以及它们的组合。在一个实施例中,清洁处理包括将腔室暴露于等离子体。在一个实施例中,等离子体由远程等离子体产生器所产生。在另一个实施例中,等离子体是原位产生的。
示例:
提供以下示例以说明通用处理如何被用于制造结合群集工具500所描述的复合氮化物结构。所述示例涉及LED结构,LED结构的制造是通过使用群集工具500来执行的,其中腔室502是HVPE腔室或MOCVD腔室,且腔室503、504是MOCVD腔室。在一个实施例中,LED结构与图1A的结构10相类似。使用图7的流程图来提供对所述处理的概述。在腔室502中,通过MOCVD处理或HVPE处理来执行初始III1-N层(例如,GaN层)的沉积,在第二MOCVD腔室503中执行III2-N层(例如,InGaN层)的沉积,且在第三MOCVD腔室504中执行III3-N层(例如,AlGaN和GaN接触层)的沉积。
在方块1602,将一个或更多个蓝宝石基板被移送入第一基板处理腔室。在第一基板处理腔室是MOCVD腔室的一个实施例中,将含有一个或更多个基板的托运板移送入第一腔室502。在一个实施例中,第一腔室502被配置为提供GaN的快速沉积。
在方块1604,在第一基板处理腔室中清洁基板。在一个实施例中,通过在约625℃至约1000℃之间的温度范围内,将氯气以200sccm至约1000sccm之间的流速流入,且将氨气以500sccm至约9000sccm之间的流速流入,以清洁一个或更多个基板。在另一个实施例中,清洁气体包括氨气和载气。
在方块1606,通过在550℃的温度下且在约100托尔至约600托尔的腔室压力下,使用MOCVD前体气体(例如,TMG、NH3和N2)在MOCVD腔室503中在基板上生长预处理处理和/或缓冲层。在一个实施例中,压力约为300托尔。
此后生长厚的u-GaN/n-GaN层,在此示例中,在方块1608,通过在1050℃的温度下且在约100托尔至约600托尔的腔室压力下,使用MOCVD前体气体(例如,TMG、NH3和N2)来执行此生长。在一个实施例中,压力约为300托尔。
在方块1606,通过在约550℃的温度下且在约100托尔至约600托尔的腔室压力下,使用HVPE前体气体(例如,GaCl3和NH3)在HVPE腔室502中在基板上生长预处理处理和/或缓冲层。在一个实施例中,腔室压力约为450托尔。此后生长厚的u-GaN/n-GaN层,在此示例中,在方块1608,通过在约1050℃的温度下且在约450托尔的腔室压力下,使用HVPE前体气体(例如,GaCl3和NH3)来执行此生长。
在一个实施例中,通过流入含镓前体和氨气,在约700℃至约1100℃之间的温度下使用HVPE处理在蓝宝石基板上形成GaN薄膜。通过将氯气以约20sccm至约150sccm之间的流速在液态镓上流动来产生含镓前体,所述液态镓被维持在700℃至约950℃之间的温度下。在一个实施例中,将液态镓维持在约800℃的温度下。将氨气以约6SLM至约20SLM范围内的流速流入处理腔室。GaN具有约0.3微米/小时至约25微米/小时之间的生长速率,可获得高达约100微米/小时的生长速率。
在方块1610,在沉积u-GaN和n-GaN层之后,将托运板移送出任一第一腔室502并移送入MOCVD腔室503,而不破坏真空,其中所述移送是经由移送腔室506在高纯度N2大气中发生的。在方块1612,通过在约750℃至约800℃的温度下且在约100托尔至约300托尔的腔室压力下,使用在H2载气流中的MOCVD前体气体(例如,TMG、TMI和NH3)在MOCVD腔室503中生长InGaN多重量子阱(MQW)活性层。在一个实施例中,腔室压力约为300托尔。
在InGaN MQW层的沉积之后,在方块1614,将托运板移送出MOCVD腔室503并移送入MOCVD腔室504,而不破坏真空,其中所述移送是经由移送腔室506在高纯度N2大气中发生的。在方块1616,通过在约1020℃的温度下且在约200托尔的压力下,使用H2载气流中提供的MOCVD前体(诸如,TMA、TMG和NH3)在MOCVD腔室504生长p-AlGaN层。在方块1618,通过在1020℃的温度下且在约100托尔的压力下,使用TMG流、NH3流、Cp2Mg流和N2流来生长p-GaN层。在一个实施例中,在约850℃与约1050℃之间的温度下,使用TMG流、Cp2Mg流和N2流,在无氨气环境中生长p-GaN层。在形成p-GaN层期间,以约5℃/秒至约10℃/秒之间的倾斜升温速率来加热一个或更多个基板。
发明者已发现,在p-GaN处理的某些实施例中,使用与0.5℃/秒至约1℃/秒的常规升温速率相比而更高的升温速率(5℃/秒至约10℃/秒)且在升温处理期间除去氨气,消除了在高升温处理期间InGaN MQW和超晶格(SL)降级。发明者已进一步发现,在某些实施例中,使用与约1050℃至约1100℃的常规温度相比而更低的约850℃至约950℃的p-GaN生长温度对InGaN结构是有利的,并且显著减小了(若未完全消除)MQW和SL降级以及二元系统与三元系统中的相分离。
可选地,在从第一腔室502(HVPE或MOCVD)、MOCVD腔室503或MOCVD腔室504中的每一个移除托运板之后,可用清洁气体执行原位腔室清洁处理。清洁气体可包括任何合适的含卤素气体。合适的含卤素气体包括氟、氯、碘、溴和/或其它活性元素。在一个实施例中,清洁气体是含氯清洁气体。在一个实施例中,可在移除托运板之后且在插入另一托运板之前清洁每一个处理腔室。在一个实施例中,可周期性地清洁每一个处理腔室。在一个实施例中,可基于已沉积的每一层的厚度来确定每次清洁的频率和/或持续时间。举例而言,在薄层的沉积之后所执行的清洁处理将比在厚层的沉积之后所执行的清洁处理要短。在一个实施例中,可在每一个u-GaN和n-GaN沉积处理之后清洁第一处理腔室。在一个实施例中,可周期性地清洁MOCVD腔室503,例如,在五十次沉积循环之后。在一个实施例中,可在移除每一托运板之后清洁MOCVD腔室504。
在生长p-AlGaN层和p-GaN层之后,随后将完成的结构移送出MOCVD腔室504。可将完成的结构移送至批量装载锁定腔室509用于储存,或者完成的结构可经由装载锁定腔室508和装载站510而退出群集工具500。
在一个实施例中,可将多个托运板单独地移送入每一个基板处理腔室且移送出每一个基板处理腔室以进行沉积处理,随后,当后续处理腔室正被清洁或者后续处理腔室正被占用时,可将每个托运板储存于批量装载锁定腔室509和/或装载锁定腔室508中。
表2展示了使用本文所描述的多腔室沉积处理的LED生长的所有LED参数。本文所描述的多腔室分割处理提供了相比于常规的单腔室原位处理而言更具优势的结果。如图8中所展示的,与原位处理的大致1V的光致发光强度相比,多腔室分割处理在所期望波长的光致发光强度极高,为7+V;而厚度均匀性的变化与原位处理的2%以上相比则极低,约为1%。周期厚度和光致发光均匀性也处于特定水平。结合在450nm波长下最高10V的LED光致发光示出了具有一致性且可复制的结果。
Figure BPA00001449500200271
表2
图8是根据本发明的一个实施例的群集工具800的平面图。群集工具800被配置为形成用于LED的氮化物化合物结构。与图5的群集工具500类似的,群集工具800包括:移送腔室806、耦接至移送腔室的装载锁定腔室808、耦接至装载锁定腔室808的装载站810,以及耦接至移送腔室606的批量装载锁定腔室809。
群集工具800包括:连接至移送腔室806的四个处理腔室801、802、803、804。在一个实施例中,处理腔室801、802、803、804被配置为有效地形成LED结构的不同的层。
处理腔室801被配置为清洁基板并在基板上形成缓冲GaN层。在一个实施例中,处理腔室801是HVPE腔室。在另一个实施例中,处理腔室801可以是MOCVD腔室。
处理腔室802被配置为沉积LED结构的n型掺杂GaN层。在一个实施例中,处理腔室802是HVPE腔室,所述HVPE腔室被配置为迅速形成n型掺杂GaN。在另一个实施例中,处理腔室802可以是MOCVD腔室。
在一个实施例中,处理腔室803被配置为沉积LED结构的MQW层。在一个实施例中,处理腔室803可以是MOCVD腔室,所述MOCVD腔室被配置为形成包括InGaN的MQW层。
在一个实施例中,处理腔室804被配置为在LED结构的MQW层之后沉积后续的诸个层。在一个实施例中,处理腔室804是MOCVD腔室。
在一个实施例中,处理腔室(HVPE腔室)802可与图2A的HVPE腔室200类似。处理腔室(HVPE腔室)802适于执行HVPE处理,在所述HVPE处理中使用气态金属卤化物以在受热基板上外延生长厚的复合氮化物半导体材料层。MOCVD腔室803、804可与图3A的MOCVD腔室100类似。
在一个实施例中,处理腔室801是HVPE腔室,且处理腔室802、803、804是MOCVD腔室。在另一个实施例中,所有四个处理腔室801、802、803、804都是MOCVD腔室。
图9是根据本发明的一个实施例的处理顺序630的流程图。处理顺序630描述了一种使用群集工具800来制造基于氮化镓的LED结构的方法。
在处理顺序630的方块632中,将多个基板移送至处理腔室801。
在处理顺序630的方块634中,在处理腔室801中清洁多个基板。
在处理顺序630的方块636中,在已清洁的基板上形成GaN缓冲层。GaN缓冲层是在处理腔室801中通过HVPE处理或MOCVD处理由镓前体和氮前体而形成的。
在处理顺序630的方块637中,将多个基板从处理腔室801移送至处理腔室(HVPE腔室)802中。
在处理顺序630的方块638中,通过HVPE处理在缓冲层上形成n型掺杂GaN层。在一个实施例中,处理腔室(HVPE腔室)802被配置为执行n型掺杂GaN层的快速沉积。
在处理顺序630的方块640中,经由移送腔室806将多个基板从处理腔室(HVPE腔室)802移送至处理腔室(MOCVD腔室)803。
在处理顺序630的方块642中,通过MOCVD处理在基板上形成包括铟、镓和氮(InGaN)的多重量子阱(MQW)层。处理腔室(MOCVD腔室)803适于提供高度均匀的沉积,但或许以降低总沉积速率为代价。
在处理顺序630的方块644中,经由移送腔室806将诸个基板从处理腔室(MOCVD腔室)803移送至处理腔室(MOCVD腔室)804。
在处理顺序630的方块646中,在处理腔室(MOCVD腔室)804中,在基板上形成p型掺杂AlGaN层。
在处理顺序630的方块648中,在处理腔室(MOCVD腔室)804中,在基板上形成p型掺杂GaN层。
在处理顺序630的方块650中,将基板移出处理腔室(MOCVD腔室)804且移出群集工具800。
应注意,处理顺序630为通过分割批量处理使用单个处理腔室来制造氮化物化合物结构的一个示例。可用任何适当的方式对各种层的沉积进行分组。本领域熟练技术人员可根据处理方法说明和其它因素来配置分割批量处理。
尽管上述内容针对本发明的实施例,但可在不脱离本发明的基本范畴的情况下设计本发明的其它及更多实施例,且本发明的范围由以下的权利要求书来确定。
权利要求书(按照条约第19条的修改)
1.一种用于制造复合氮化物结构的方法,包括:
在放置于第一处理腔室中的两个或更多个基板上形成III族氮化物层;
在受控环境中,将所述两个或更多个基板从所述第一处理腔室移送至第二处理腔室;
在所述第二处理腔室中,在所述III族氮化物层上形成三元III族氮化物层;
在受控环境中,将所述两个或更多个基板从所述第二处理腔室移送至第三处理腔室;以及
在所述第三处理腔室中,在所述三元III族氮化物层上形成第一掺杂III族氮化物层。
2.如权利要求1所述的方法,进一步包括:在所述第一掺杂III族氮化物层上形成第二掺杂III族氮化物层。
3.如权利要求2所述的方法,其中,在所述第三处理腔室中将所述第二掺杂III族氮化物层形成在所述第一掺杂III族氮化物层上。
4.如权利要求1所述的方法,其中在所述第一处理腔室中形成在所述两个或更多个基板上的所述III族氮化物层包括:第三掺杂III族氮化物层。
5.如权利要求1所述的方法,其中形成所述III族氮化物层包括:
在HVPE前体上方流入包括氯气的活性气体,以形成第一反应产物,其中所述第一反应产物包括氯化镓或氯化铝;以及
将所形成的第一反应产物流向放置于所述第一处理腔室内的所述两个或更多个基板的表面。
6.一种用于制造复合氮化物半导体结构的方法,包括:
向放置于第一处理腔室内的两个或更多个基板供热,同时向所述两个或更多个基板的表面流入包括氨和卤素气体的气体混合物;
在放置于所述第一处理腔室内的所述两个或更多个基板的表面上形成III族氮化物层;
在受控环境中,将所述两个或更多个基板从所述第一处理腔室移送至第二处理腔室;
在所述第二处理腔室中,在所述III族氮化物层上形成三元III族氮化物层;
在受控环境中,将所述两个或更多个基板从所述第二处理腔室移送至第三处理腔室;
在所述第三处理腔室中,在所述三元III族氮化物层上形成第一掺杂III族氮化物层;以及
在所述第一掺杂III族氮化物层上形成第二掺杂III族氮化物层。
7.如权利要求6所述的方法,其中所述卤素气体包括氟气或氯气。
8.如权利要求6所述的方法,其中在所述第一处理腔室中形成在所述两个或更多个基板上的所述III族氮化物层包括:第三掺杂III族氮化物层。
9.如权利要求6所述的方法,其中形成所述III族氮化物层包括:
在HVPE前体上方流入包括氯气的活性气体,以形成第一反应产物,其中所述第一反应产物包括氯化镓或氯化铝;以及
将所形成的第一反应产物流向放置于所述第一处理腔室内的所述两个或更多个基板的表面。
10.一种用于制造复合氮化物结构的方法,包括:
在第一处理腔室中,通过氢化物气相外延(HVPE)处理在两个或更多个基板上形成氮化镓(GaN)层;
将所述两个或更多个基板移送至第二处理腔室;
在所述第二处理腔室中,通过MOCVD处理在所述两个或更多个基板上形成InGaN层;
将所述两个或更多个基板移送至第三处理腔室;
在所述第三处理腔室中,通过MOCVD处理,在所述两个或更多个基板上形成p型掺杂AlGaN层;以及
通过MOCVD处理,在所述两个或更多个基板上形成p型掺杂GaN层。
11.如权利要求10所述的方法,其中形成所述InGaN层包括:通过气体分布设备将两个或更多个处理气体流入所述第二处理腔室的处理容积内,以在所述两个或更多个基板上沉积一个或更多个膜。
12.如权利要求11所述的方法,其中形成所述InGaN层进一步包括:使用热源来加热所述两个或更多个基板,所述热源包括被排列为形成多个同轴加热区域的灯阵列。
13.一种用于制造复合氮化物半导体结构的方法,包括:
向两个或更多个基板供热,同时向所述一个或更多个基板的表面流入包括氨和载气的气体混合物;
在放置于氢化物气相外延(HVPE)腔室内的所述一个或更多个基板的表面上流入第一含镓反应产物和第一含氮前体,以在所述一个或更多个基板的表面上形成氮化镓层,其中所述第一反应产物是通过以下步骤所形成的;
在HVPE前体上方流入包括氯的活性气体,所述第一反应产物包括氯化镓或氯化铝;
从所述HVPE腔室移除所述一个或更多个基板,而不使所述一个或更多个基板暴露于大气;
将所述一个或更多个基板移送至第一MOCVD腔室;
将第一含镓前体、含铟前体和第二含氮前体流入所述第一MOCVD处理腔室,以在所述氮化镓层上形成InGaN层;
从所述第一MOCVD腔室移除所述一个或更多个基板,而不使所述一个或更多个基板暴露于大气;
将所述一个或更多个基板移送至第二MOCVD腔室;以及
将第二含镓前体、含铝前体和第三含氮前体流入所述第二MOCVD处理腔室,以在所述InGaN层上形成AlGaN层。
14.如权利要求13所述的方法,其中形成所述InGaN层包括:通过气体分布设备将两个或更多个处理气体流入所述第二处理腔室的处理容积内,以在所述两个或更多个基板上沉积一个或更多个或更多个膜。
15.如权利要求14所述的方法,其中形成所述InGaN层进一步包括:
使用热源来加热所述两个或更多个基板,所述热源包括被排列为形成多个同轴加热区域的灯阵列。
16.一种用于制造复合氮化物结构的方法,包括:
在放置于第一处理腔室中的两个或更多个基板上形成III族氮化物层;
在受控环境中,将所述两个或更多个基板从所述第一处理腔室移送至第二处理腔室;
在所述第二处理腔室中,在所述III族氮化物层上形成三元III族氮化物层;
在受控环境中,将所述两个或更多个基板从所述第二处理腔室移送至第三处理腔室;
在所述第三处理腔室中,在所述三元III族氮化物层上形成第一掺杂III族氮化物层;
在受控环境中,将所述两个或更多个基板从所述第三处理腔室移送至第四处理腔室;以及
在所述第四处理腔室中,在所述第一掺杂III族氮化物层上形成第二掺杂III族氮化物层。
17.如权利要求16所述的方法,其中在所述第一处理腔室中形成在所述两个或更多个基板上的所述III族氮化物层包括:第三掺杂III族氮化物层。
18.如权利要求16所述的方法,进一步包括:
向所述两个或更多个基板供热,并在所述两个或更多个基板上形成所述III族氮化物层之前,向所述两个或更多个基板的表面流入包括氨和卤素气体的气体混合物。
19.如权利要求16所述的方法,其中形成所述III族氮化物层包括:
在HVPE前体上方流入包括氯气的活性气体,以形成第一反应产物,其中所述第一反应产物包括氯化镓或氯化铝;以及
将所形成的第一反应产物流向放置于所述第一处理腔室内的所述两个或更多个基板的表面。
20.一种用于制造复合氮化物结构的方法,包括:
在放置于第一处理腔室中两个或更多个基板上形成三元III族氮化物层;
在受控环境中,将所述两个或更多个基板从所述第一处理腔室移送至第二处理腔室;
在所述第二处理腔室中,在所述三元III族氮化物层上形成第一掺杂III族氮化物层;以及
在所述第一掺杂III族氮化物层上形成第二掺杂III族氮化物层。
21.如权利要求20所述的方法,其中,在所述第三处理腔室中将所述第二掺杂III族氮化物层形成在所述第一掺杂III族氮化物层上。
22.一种用于制造复合氮化物结构的方法,包括:
向两个或更多个基板供热,并向放置于第一处理腔室的所述两个或更多个基板的表面流入包括氨和卤素气体的气体混合物;
在所述第一处理腔室内的所述两个或更多个基板的每一个基板的表面上形成氮化镓层;
在受控环境中,将所述两个或更多个基板从所述第一处理腔室移送至第二处理腔室;以及
在所述第二处理腔室中,在所述氮化镓层上形成第一掺杂III族氮化物层。
23.如权利要求22所述的方法,其中所述第一掺杂III族氮化物层包括氮化镓。
24.一种用于制造复合氮化物半导体结构的系统,包括:
外壳,界定移送区域;
HVPE处理腔室,与所述移送区域可移送地连通,其中所述HVPE处理腔室包括:
热源,被定位为在处理期间对所述HVPE处理腔室内的处理容积中放置的托运板进行加热,其中所述托运板被配置为接收一个或更多个基板;
源舟皿,具有被配置为存放液体镓的区域;以及
氯气源,耦合到所述源舟皿的所述区域;
第一MOCVD处理腔室,与所述移送区域可移送地连通,并且可操作地在所述一个或更多个基板上形成一个或更多个复合氮化物半导体层,其中所述第一处理腔室包括:
基板支撑件,被定位在所述处理腔室的处理容积内;
喷淋头,定义所述处理区域的顶部区域;
金属有机含铟源和金属有机含镓源,通过所述喷淋头耦合到所述处理区域;以及
多个热源,在所述处理区域下方形成一个或更多个加热区域,并且被定位为引导辐射热朝向所述基板支撑件;
第二MOCVD处理腔室,与所述移送区域可移送地连通,并且可操作地在所述一个或更多个基板上形成一个或更多个复合氮化物半导体层,其中所述第二处理腔室包括:
基板支撑件,被定位在所述处理腔室的处理容积内;
喷淋头,定义所述处理区域的顶部区域;
金属有机含铝源、含Cp2Mg源和金属有机含镓源,通过所述喷淋头耦合到所述处理区域;以及
多个热源,在所述处理区域下方形成一个或更多个加热区域,并且被定位为引导辐射热朝向所述基板支撑件;以及
装载锁定腔室,定义一空腔,所述装载锁定腔室与所述移送区域可移送地连通,其中所述空腔被配置为接收多个托运板且与清洁气体源流体连通,所述清洁气体源适于将清洁气体传送至放置于所述空腔中的所述基板。

Claims (15)

1.一种用于制造复合氮化物结构的方法,包括:
将一个或更多个基板移送至第一处理腔室;
在所述第一处理腔室中,通过氢化物气相外延(HVPE)处理或金属有机化学气相沉积(MOCVD)处理在所述一个或更多个基板上形成氮化镓(GaN)层;
将所述一个或更多个基板移送至第二处理腔室;
在所述第二处理腔室中,通过MOCVD处理在所述一个或更多个基板上形成InGaN多重量子阱活性层;
将所述一个或更多个基板移送至第三处理腔室;
通过MOCVD处理,在所述一个或更多个基板上形成p型掺杂AlGaN层;及
通过MOCVD处理,在所述一个或更多个基板上形成p型掺杂GaN层。
2.如权利要求1所述的方法,其中形成所述p型掺杂AlGaN层和形成所述p型掺杂GaN层是在所述第三处理腔室中执行的。
3.如权利要求1所述的方法,进一步包括:在形成所述GaN层之前形成GaN缓冲层。
4.如权利要求3所述的方法,其中形成所述GaN缓冲层是在所述第一处理腔室中执行的。
5.如权利要求3所述的方法,其中形成所述GaN缓冲层是在第四处理腔室中执行的。
6.如权利要求1所述的方法,其中将所述一个或更多个基板移送至所述第二处理腔室包括:经由连接到所述第一处理腔室和所述第二处理腔室的移送腔室的受控环境,将所述一个或更多个基板进行移送,且所述受控环境是具有惰性气体或还原气体的周围大气的低压环境。
7.如权利要求6所述的方法,其中将所述一个或更多个基板移送至所述第二处理腔室进一步包括:将所述一个或更多个基板的温度维持在高于约350℃。
8.一种用于制造复合氮化物半导体结构的方法,包括:
将一个或更多个基板安置于包含喷淋头的第一金属有机化学气相沉积(MOCVD)腔室的处理区域中的基座上;
将第一含镓前体和第一含氮前体经由所述喷淋头流入所述第一MOCVD腔室;
使用所述含镓前体和所述第一含氮前体,在所述第一MOCVD腔室中,用热化学气相沉积处理在所述一个或更多个基板上沉积氮化镓层;
从所述第一MOCVD腔室移除所述一个或更多个基板,而不使所述一个或更多个基板暴露于大气;
将所述一个或更多个基板移送入第二MOCVD腔室;
将第二含镓前体、含铟前体和第二含氮前体流入所述第二MOCVD处理腔室;
使用所述第二含镓前体、所述含铟前体和所述第二含氮前体,在所述第二MOCVD处理腔室中,用热化学气相沉积处理在所述GaN层上沉积InGaN层;
从所述第二MOCVD腔室移除所述一个或更多个基板,而不使所述一个或更多个基板暴露于大气;
将所述一个或更多个基板移送入第三MOCVD腔室;
将第三含镓前体、含铝前体和第三含氮前体流入所述第三MOCVD处理腔室;以及
使用所述第三含镓前体、所述含铝前体和所述第三含氮前体,在所述第三MOCVD处理腔室中,用热化学气相沉积处理在所述InGaN层上沉积AlGaN层。
9.如权利要求8所述的方法,进一步包括:
使用第四III族前体、第四含氮前体和p型掺杂剂,在所述第三处理腔室中,用热化学气相沉积处理在所述一个或更多个基板上沉积p-GaN层,所述第四层包含氮、所述p型掺杂剂和所述第四III族元素。
10.如权利要求8所述的方法,进一步包括:
在所述第一MOCVD腔室中在一个或更多个基板上沉积氮化镓层之后,且在所述第三MOCVD处理腔室中用热化学气相沉积处理在所述InGaN层上沉积AlGaN层之前,用清洁气体清洁所述第一MOCVD腔室,其中所述第一MOCVD腔室与所述第三MOCVD腔室是同一腔室。
11.如权利要求8所述的方法,其中在从所述第一MOCVD腔室移除所述一个或更多个基板之后,清洁所述第一MOCVD腔室;且在从所述第三MOCVD腔室移除所述一个或更多个基板之后,清洁所述第三MOCVD腔室。
12.一种用于制造复合氮化物半导体结构的方法,包括:
将第一III族前体和第一含氮前体流入第一处理腔室,所述第一III族前体包含第一III族元素;
使用所述第一III族前体和所述第一含氮前体,在所述第一处理腔室中,在一个或更多个基板上沉积第一层,所述第一层包含氮和所述第一III族元素;
从所述第一处理腔室移除所述一个或更多个基板,而不使所述一个或更多个基板暴露于大气;
将所述一个或更多个基板移送入第二处理腔室;
将第二III族前体和第二含氮前体流入所述第二处理腔室,所述第二III族前体包含所述第一III族前体所不包含的第二III族元素;
使用所述第二III族前体和所述第二含氮前体,在所述第二处理腔室中,用热化学气相沉积处理在所述一个或更多个基板上沉积第二层,所述第二层包含氮和所述第二III族元素;
从所述第二基板处理腔室移除所述一个或更多个基板,而不使所述一个或更多个基板暴露于大气;
将所述一个或更多个基板移送入第三基板处理腔室;
将第三III族前体和第三含氮前体流入所述第三处理腔室,所述第三III族前体包含所述第一III族前体或所述第二III族前体所不包含的第三III族元素;及
使用所述第三III族前体和所述第三含氮前体,在所述第三处理腔室中,用热化学气相沉积处理在所述一个或更多个基板上沉积第三层,所述第三层包含氮和所述第三III族元素。
13.如权利要求12所述的方法,进一步包括:
使用第四III族前体和第四含氮前体,在所述第三处理腔室中,用热化学气相沉积处理在所述一个或更多个基板上沉积第四层,所述第四层包含氮和所述第四第III族元素。
14.如权利要求12所述的方法,进一步包括:
在使用所述第一III族前体和所述第一含氮前体,在所述第一处理腔室中在一个或更多个基板上沉积第一层之后,用一清洁气体清洁所述第一处理腔室;以及
使用第四III族前体和第四含氮前体,在所述第一处理腔室中,用热化学气相沉积处理在所述一个或更多个基板上沉积第四层,所述第四层包含氮和所述第四第III族元素。
15.如权利要求14所述的方法,其中所述清洁气体包括选自以下组的卤素气体:包含氟、溴、氯、碘及它们的组合的组。
CN201080019514.1A 2009-04-28 2010-04-27 Led的群集工具 Active CN102414844B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US17353609P 2009-04-28 2009-04-28
US61/173,536 2009-04-28
US17709809P 2009-05-11 2009-05-11
US61/177,098 2009-05-11
US23087709P 2009-08-03 2009-08-03
US61/230,877 2009-08-03
US12/751,692 US8183132B2 (en) 2009-04-10 2010-03-31 Methods for fabricating group III nitride structures with a cluster tool
US12/751,692 2010-03-31
PCT/US2010/032597 WO2010129292A2 (en) 2009-04-28 2010-04-27 Cluster tool for leds

Publications (2)

Publication Number Publication Date
CN102414844A true CN102414844A (zh) 2012-04-11
CN102414844B CN102414844B (zh) 2015-04-08

Family

ID=43050736

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080019514.1A Active CN102414844B (zh) 2009-04-28 2010-04-27 Led的群集工具

Country Status (7)

Country Link
US (1) US8183132B2 (zh)
EP (1) EP2425463A2 (zh)
JP (1) JP2012525713A (zh)
KR (1) KR20120003495A (zh)
CN (1) CN102414844B (zh)
TW (1) TW201106502A (zh)
WO (1) WO2010129292A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109585517A (zh) * 2018-12-13 2019-04-05 顾琼 一种用于制备透明显示屏格栅的设备及其生产工艺
CN111342345A (zh) * 2018-12-18 2020-06-26 山东华光光电子股份有限公司 一种GaAs基量子点激光器的制备方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101802254B (zh) 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US8080466B2 (en) * 2009-08-10 2011-12-20 Applied Materials, Inc. Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110244663A1 (en) * 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
TW201213595A (en) * 2010-09-29 2012-04-01 Hon Hai Prec Ind Co Ltd Coating device
KR20120070881A (ko) * 2010-12-22 2012-07-02 삼성엘이디 주식회사 발광 다이오드 제조방법
KR101684859B1 (ko) * 2011-01-05 2016-12-09 삼성전자주식회사 발광 다이오드 제조방법 및 이에 의하여 제조된 발광 다이오드
US20120315741A1 (en) * 2011-06-13 2012-12-13 Jie Su Enhanced magnesium incorporation into gallium nitride films through high pressure or ald-type processing
US20130023079A1 (en) * 2011-07-20 2013-01-24 Sang Won Kang Fabrication of light emitting diodes (leds) using a degas process
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271247B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
CN103132140A (zh) * 2011-11-23 2013-06-05 甘志银 氢化物气相外延装置
WO2013106621A1 (en) 2012-01-12 2013-07-18 First Solar, Inc Method and system of providing dopant concentration control in different layers of a semiconductor device
US8676375B2 (en) * 2012-02-27 2014-03-18 Veeco Instruments Inc. Automated cassette-to-cassette substrate handling system
CN102615068B (zh) * 2012-03-26 2015-05-20 中微半导体设备(上海)有限公司 Mocvd设备的清洁方法
KR101419705B1 (ko) * 2012-08-24 2014-07-15 주식회사 선익시스템 예비 챔버가 구비된 박막 증착장치
US20140124788A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
KR20140074516A (ko) * 2012-12-10 2014-06-18 서울바이오시스 주식회사 질화갈륨계 반도체층 성장 방법 및 발광 소자 제조 방법
US9312344B2 (en) * 2013-03-13 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor materials in STI trenches
KR101445673B1 (ko) * 2013-04-30 2014-10-01 주식회사 이엔에프테크놀로지 반도체 결정 성장 장치 및 방법
US9252024B2 (en) 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
US9520466B2 (en) 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate-all-around field effect transistors and methods of forming same
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070259502A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3063867A (en) 1958-12-16 1962-11-13 Western Electric Co Deposition and measurement of layer thickness
US4851295A (en) 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
USD329839S (en) 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
WO1992022084A1 (en) 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5359788A (en) 1993-12-22 1994-11-01 Gell Jr Harold A Coffee roaster
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
WO1995027570A1 (en) 1994-04-08 1995-10-19 Ray Mark A Selective plasma deposition
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5636320A (en) 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
JPH09295890A (ja) * 1996-04-26 1997-11-18 Mitsubishi Chem Corp 半導体製造装置および半導体製造方法
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6270569B1 (en) 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6218280B1 (en) 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6413839B1 (en) 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
KR100304664B1 (ko) 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP3384795B2 (ja) 1999-05-26 2003-03-10 忠弘 大見 プラズマプロセス装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6897119B1 (en) 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
AU2001242363A1 (en) 2000-02-04 2001-08-14 Aixtron Ag Device and method for depositing one or more layers onto a substrate
US6517634B2 (en) 2000-02-28 2003-02-11 Applied Materials, Inc. Chemical vapor deposition chamber lid assembly
JP4849705B2 (ja) 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
JP4813737B2 (ja) 2000-04-17 2011-11-09 マットソン テクノロジー インコーポレイテッド 窒化ケイ素フィルムを形成するための超薄オキシニトリドのuv前処理法
US6616870B1 (en) 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
DE10057134A1 (de) 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6573164B2 (en) 2001-03-30 2003-06-03 Technologies And Devices International, Inc. Method of epitaxially growing device structures with sharp layer interfaces utilizing HVPE
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
EP1459362A2 (de) 2001-12-21 2004-09-22 Aixtron AG Verfahren zum abscheiden von iii-v-halbleiterschichten auf einem nicht-iii-v-substrat
DE10163394A1 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
KR100568701B1 (ko) 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
DE10232731A1 (de) 2002-07-19 2004-02-05 Aixtron Ag Be- und Entladevorrichtung für eine Beschichtungseinrichtung
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
JP2007525822A (ja) 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR100578089B1 (ko) 2004-12-22 2006-05-10 주식회사 시스넥스 수소화물기상증착 반응기
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR101145755B1 (ko) 2005-03-10 2012-05-16 재팬 사이언스 앤드 테크놀로지 에이젼시 평면의 반극성 갈륨 질화물의 성장을 위한 기술
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
JP4594820B2 (ja) 2005-08-03 2010-12-08 古河機械金属株式会社 ハイドライド気相成長装置、iii族窒化物半導体基板の製造方法
JP4803578B2 (ja) 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
GB2436398B (en) * 2006-03-23 2011-08-24 Univ Bath Growth method using nanostructure compliant layers and HVPE for producing high quality compound semiconductor materials
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7364991B2 (en) 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7459380B2 (en) * 2006-05-05 2008-12-02 Applied Materials, Inc. Dislocation-specific dielectric mask deposition and lateral epitaxial overgrowth to reduce dislocation density of nitride films
JP2008066490A (ja) 2006-09-06 2008-03-21 Nippon Emc Ltd 気相成長装置
JP2008235758A (ja) * 2007-03-23 2008-10-02 Sumitomo Chemical Co Ltd 化合物半導体エピタキシャル基板の製造方法
WO2009045217A1 (en) * 2007-10-04 2009-04-09 Applied Materials, Inc. Parasitic particle suppression in the growth of iii-v nitride films using mocvd and hvpe
US20090149008A1 (en) 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8465587B2 (en) * 2009-12-30 2013-06-18 Cbl Technologies, Inc. Modern hydride vapor-phase epitaxy system and methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070259502A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109585517A (zh) * 2018-12-13 2019-04-05 顾琼 一种用于制备透明显示屏格栅的设备及其生产工艺
CN111342345A (zh) * 2018-12-18 2020-06-26 山东华光光电子股份有限公司 一种GaAs基量子点激光器的制备方法
CN111342345B (zh) * 2018-12-18 2021-05-07 山东华光光电子股份有限公司 一种GaAs基量子点激光器的制备方法

Also Published As

Publication number Publication date
EP2425463A2 (en) 2012-03-07
KR20120003495A (ko) 2012-01-10
JP2012525713A (ja) 2012-10-22
US20100261340A1 (en) 2010-10-14
US8183132B2 (en) 2012-05-22
TW201106502A (en) 2011-02-16
WO2010129292A2 (en) 2010-11-11
WO2010129292A4 (en) 2011-03-31
CN102414844B (zh) 2015-04-08
WO2010129292A3 (en) 2011-02-17

Similar Documents

Publication Publication Date Title
CN102414844B (zh) Led的群集工具
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
US8778783B2 (en) Methods for improved growth of group III nitride buffer layers
EP2083935B1 (en) Method for epitaxial deposition of a monocrystalline Group III-V semiconductor material
US8080466B2 (en) Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
EP2038456B1 (en) System and process for high volume deposition of gallium nitride
EP2066496B1 (en) Equipment for high volume manufacture of group iii-v semiconductor materials
US8980002B2 (en) Methods for improved growth of group III nitride semiconductor compounds
US20110244617A1 (en) Forming a compound-nitride structure that includes a nucleation layer
US20090194026A1 (en) Processing system for fabricating compound nitride semiconductor devices
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
US20110081771A1 (en) Multichamber split processes for led manufacturing
US10439099B2 (en) UV light emitting devices and systems and methods for production
CN102414786A (zh) 在原位清洁后利用nh3净化对mocvd腔室进行去污染处理
US20110171758A1 (en) Reclamation of scrap materials for led manufacturing
JP2007042841A (ja) ハイドライド気相成長装置および半導体基板の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant