CN101853813A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN101853813A
CN101853813A CN200910165189A CN200910165189A CN101853813A CN 101853813 A CN101853813 A CN 101853813A CN 200910165189 A CN200910165189 A CN 200910165189A CN 200910165189 A CN200910165189 A CN 200910165189A CN 101853813 A CN101853813 A CN 101853813A
Authority
CN
China
Prior art keywords
gap
gate stack
silicon
oxide layer
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200910165189A
Other languages
English (en)
Inventor
徐振斌
郑光茗
庄学理
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101853813A publication Critical patent/CN101853813A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明提供一种半导体装置及其制造方法。上述半导体装置的制造方法包括形成一栅极堆叠于一硅基底之上,形成虚置间隙子于该栅极堆叠的侧壁上,各向同性地蚀刻该硅基底以形成凹陷区于该栅极堆叠的一侧,形成一半导体材料于所述凹陷区之内,该半导体材料相异于该硅基底;移除所述虚置间隙子,形成多个栅极间隙子层,其具有氧化物-氮化物-氧化物配置于该栅极堆叠与该半导体材料上,以及蚀刻所述间隙子层以形成栅极间隙子于该栅极堆叠的侧壁上。本发明优点在于该应变界面可提升半导体装置的载流子迁移率;另一优点在于多层配置的间隙子以及最佳化的蚀刻步骤以克服间隙子残留问题;再一优点在于所述方法可相容于CMOS工艺流程且可容易地实行。

Description

半导体装置及其制造方法
技术领域
本发明涉及半导体装置及其制造方法,特别涉及间隙子于应变硅中的半导体装置及其制造方法。
背景技术
半导体集成电路(IC)工业已经历快速成长。集成电路材料与设计的技术进步已造就数个IC世代,相较于前一世代,各世代具有更小且更复杂的电路。然而,这些进步也增加了IC的工艺和制造的复杂度,并且为了实现这些技术进步,需要相似的研发于IC工艺和制造。在集成电路演化的方向上,功能性的密度(也即每芯片区域中内连线元件的数目)已逐渐地增加,随着几何尺寸(也即使用制造技术所能创造的最小元件(或线))降低。此微缩化一般所提供的益处为增加制造效率及降低相关的成本。此微缩化也造成相对地高功率散失值,可通过使用低高功率散失装置例如互补式金属-氧化物-半导体(CMOS)装置解决。
在半导体制造工艺中,间隙子可形成于一栅极堆叠的侧壁上。上述间隙子可通过以下的方法形成,包括沉积一适当的间隙子材料,及蚀刻该材料以形成所欲的间隙子轮廓。然而,传统的形成间隙子的方法会留下不想要的间隙子材料残留。
发明内容
为了解决现有技术存在的上述问题,本发明的实施例提供一种半导体装置的制造方法。上述方法包括:提供一硅基底具有一浅沟槽隔离物形成于其上;形成一栅极堆叠于该硅基底之上;形成虚置间隙子于该栅极堆叠的侧壁上;形成一凹陷区于该硅基底中,其中该凹陷区为夹置于该栅极堆叠与该浅沟槽隔离物之间;外延成长一半导体材料于该凹陷区之内,其中该半导体材料相异于该硅基底;移除该虚置间隙子;形成一第一氧化硅层于该栅极堆叠和该半导体材料之上;形成一氮化硅层于该第一氧化硅层之上;形成一第二氧化硅层于该氮化硅层之上;实施一第一干蚀刻工艺以移除一部分的该第二氧化硅层;实施一第二干蚀刻工艺以移除一部分的该氮化硅层;以及实施一第三干蚀刻工艺以移除一部分的该第一氧化硅层,借此形成栅极间隙子于该栅极堆叠的侧壁上,其中各栅极间隙子包括一残留部分的该第一氧化硅层、该氮化硅层、及该第二氧化硅层。
本发明的实施例另提供一种半导体装置的制造方法。上述方法包括:形成一栅极堆叠于一硅基底之上;形成虚置间隙子于该栅极堆叠的侧壁上;各向同性地蚀刻该硅基底以形成凹陷区于该栅极堆叠的一侧;形成一半导体材料于所述凹陷区之内,其中该半导体材料相异于该硅基底;移除所述虚置间隙子;形成多个栅极间隙子层,其具有氧化物-氮化物-氧化物配置于该栅极堆叠与该半导体材料上;以及蚀刻所述间隙子层以形成栅极间隙子于该栅极堆叠的侧壁上。
本发明的实施例又提供一半导体装置。上述半导体装置包括:一硅基底具有一硅区域、多个应变半导体材料区域、及一浅沟槽隔离物区域,其中所述应变半导体材料区域之一夹置于该硅区域和该浅沟槽隔离物区域之间,以及其中所述应变半导体材料区域包括一各向同性轮廓;以及一晶体管包括:一栅极堆叠于该硅区域上;多个间隙子形成于该栅极堆叠的侧壁上,其中各间隙子包括一氮化层夹置于一第一氧化层和一第二氧化层之间;以及轻掺杂源极/漏极(LDD)区各形成于该硅区域的一部分位于该间隙子下方以及形成于应变半导体材料区域的一部分。
综上所述,所揭示的方法和装置的优点为在一半导体装置的硅基底中形成多个凹陷区域,以及以应变半导体材料填入凹陷区域中,以创造出应变界面于该基地和半导体材料之间。上述所揭示的也包括间隙子具有多层的配置且通过实施最佳化的干蚀刻工艺形成。本发明优点之一在于该应变界面,其位于该凹陷区域中的半导体材料与该硅基底之间,可提升半导体装置的载流子迁移率。该载流子迁移率允许较大的沟道电流而无须增加栅极电压;另一优点在于多层配置的间隙子以及最佳化的蚀刻步骤以克服间隙子残留问题;再一优点在于所揭示的方法可相容于CMOS工艺流程且可容易地实行。
为使本发明能更明显易懂,下文特举实施例,并配合附图,作详细说明如下。
附图说明
图1为一制造流程图显示根据本发明揭示的各种型态形成间隙子的方法;以及
图2A-图2J为根据图1所揭示的方法,半导体元件于各制造阶段的剖面示意图。
上述附图中的附图标记说明如下:
100~制造方法;
110-190~步骤区块;
200~半导体元件;
202~基底;
204~绝缘结构;
206和208~有源区域;
210~栅极堆叠;
212~高介电常数介电层;
213~金属层;
214~有源材料层;
216~硬掩模层;
218~虚置间隙子;
220和222~凹陷区域;
230和232~半导体材料;
235~轻掺杂源极/漏极区域;
240~第一间隙子层;
250~第二间隙子层;
260~第三间隙子层;
265~结构;
275~间隙子;
310~蚀刻工艺;
315~选择性外延成长工艺;
320~第一蚀刻工艺;
330~第二蚀刻工艺;
340~第三蚀刻工艺。
具体实施方式
以下以各实施例详细说明并伴随着附图说明的范例,做为本发明的参考依据。在附图或说明书描述中,相似或相同的部分皆使用相同的附图标记。且在附图中,实施例的形状或是厚度可扩大,并以简化或是方便标示。再者,附图中各元件的部分将以分别描述说明,值得注意的是,图中未示出或描述的元件,为所属技术领域中普通技术人员所知的形式,另外,特定的实施例仅为揭示本发明使用的特定方式,其并非用以限定本发明。
图1为一制造流程图,其显示形成间隙子的方法100根据本发明揭示的各种型态。图2A-图2J为根据图1的方法100,半导体元件200于各制造阶段的剖面示意图。该半导体元件200可为一体集成电路,或其中的一部分,此集成电路包括存储器电路和/或逻辑电路例如P-沟道场效应晶体管(pFET),N-沟道场效应晶体管(nFET),金属-氧化物-半导体场效应晶体管(MOSFET),或互补式金属-氧化物-半导体(CMOS)晶体管。应注意的是部分的半导体元件200的结构可通过CMOS工艺流程制造。有鉴于此,可了解的是,在图1的方法之前、当时、或之后可提供额外的工艺,以及许多其他的工艺仅会在此作简略的描述。
请参阅图1,制造方法100始于步骤区块110在其中一栅极堆叠形成于一硅基底之上,此硅基底具有一浅沟槽隔离物。请参阅图2A,其显示一半导体元件200处于制造过程的中间阶段。该半导体元件200可包括一基底202,例如一硅基底。该基底202可包括各种掺杂型态,根据公知的设计需求而定。该基底202也可包括其他的基本的半导体,例如锗和钻石。另择一地,该基底202可包括一化合物半导体和/或一合金半导体。在本实施例中,该基底202包括一硅材料。
该半导体元件200还可包括一绝缘结构204供基底中的有源区域206和208间绝缘。绝缘结构204包括一介电材料及可由氧化硅、氮化硅、氮氧化硅、氟掺杂硅酸盐(FSG)和/或公知的介电材料而形成。上述有源区域206和208可配置一N-型金属-氧化物-半导体晶体管装置(简称为NMOS),或一P-型金属-氧化物-半导体晶体管装置(简称为PMOS)。
该半导体元件200可包括一栅极堆叠210形成于有源区域208之上。该栅极堆叠210可包括一界面层(未示出)形成于该基底202之上。该界面层可包括氧化硅(SiO2)或氮氧化硅(SiON)具有厚度约5到10埃
Figure B2009101651899D0000051
栅极堆叠210还可包括一高介电常数介电层212形成于该基底202之上。该高介电常数介电层212可包括氧化铪(HfOx)。另择一地,该高介电常数介电层212可选择性地包括其他高介电常数介电材料,例如LaO、AlO、ZrO、TiO、Ta2O5、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化硅、或其他适合的材料。该高介电常数介电层212可包含一厚度范围介于约10到40埃高介电常数介电层212可由原子层沉积法(ALD)或其他适合的技术形成。
栅极堆叠210还可包括一金属层213形成于高介电常数介电层212之上。该栅极堆叠210可包括任一金属材料适用于形成金属栅极或其一部分,包括低功函数层、衬垫层、界面层、晶种层、黏结层、阻挡层等。例如,该金属层可包括TiN、TaN、ZrN、HfN、VN、NbN、CrN、MoN、WN、TiAl、TiAlN、或其任意组合。该金属层213可通过ALD、物理气相沉积法(PVD或溅镀)、化气相沉积法(CVD),或其他适合的技术形成。该栅极堆叠210还可包括一有源材料层214形成于该金属层213之上。该有源材料层214可为一金属层或者可包括Al、Cu、W、Ti、Ta、Cr、V、Nb、Zr、Hf、Mo、Ni、Co、或其任意组合。另择一地,该有源材料层214可通过各种沉积技术形成,例如PVD、CVD、ALD、电镀、或其他适合的技术。
该栅极堆叠210也可包括一硬掩模层216形成于该有源材料层214之上。该硬掩模层216可使用于图案化底下层,且可包括一介电材料例如氧化硅、氮化硅、氮氧化硅、或碳化硅。在本实施例中,该硬掩模层216包括氮化硅。虚置间隙子218也可形成于栅极堆叠210的任一侧上。该虚置间隙子218可包括介电材料例如氮化硅或氧化硅。在本实施例中,该虚置间隙子218包括氧化硅。该虚置间隙子218可被形成,通过沉积虚置间隙子层于该栅极堆叠210与硅基底202上,接着施以一干蚀刻工艺于该虚置间隙子层。
继续进行该方法100的步骤区块120在其中形成一凹陷区域于该基底中,其中该凹陷区域夹置于该栅极堆叠与该浅沟槽隔离物之间。请参阅图2B,可实施一蚀刻工艺310于该基底202以形成凹陷区域220和222。在本实施例中,该蚀刻工艺310可包括一蚀刻工艺其形成一等方向性的轮廓。该蚀刻工艺310可包括一干蚀刻工艺使用等离子体气体或一湿蚀刻工艺使用酸。例如,该蚀刻工艺310可使用HBr等离子体气体做为蚀刻剂。再者,在本实施例中,该蚀刻工艺310可调整一偏压于该等离子体气体以达到所望的轮廓于凹陷区域220和222中,其包括一等方向性的轮廓如本实施例的图2B所示。在其他的实施例中,可使用一湿蚀刻工艺,其包括HF酸做为蚀刻剂,以形成等方向性的轮廓于凹陷区域220和222中。在其他的实施例中,可实行结合干式及湿式的蚀刻工艺以形成等方向性的轮廓。如同先前所述,该浅沟槽隔离物(STI)204可包括介电材料而该基底202包括硅材料,STI 204的介电材料和基底202的硅材料之间可具有蚀刻选择率,使得蚀刻工艺310不会将STI 204蚀刻掉。因此,当该栅极204位于次邻于STI 204蚀,蚀刻工艺310可停止或中断于STI 204,使得STI 204形成该于凹陷区域222的边界。当后续地形成侧壁或栅极间隙子时,此可能导致发生残留物移除的问题,此后将进一步讨论。
继续进行方法100的步骤区块130在其中外延成长半导体材料于该凹陷区域中。请参阅图2C,可将半导体材料230和232相对地形成于凹陷区域220和222中,通过一选择性外延成长(SEG)工艺315或其他适合的外延技术工艺。该选择性外延成长工艺315可使用一特殊的CVD工艺。例如,该特殊的CVD工艺可实行于低的沉积速率或低的基底温度。另择一地,可使用ALD工艺做为选择性外延成长工艺315。半导体材料230和232可为相异于硅基底202的材料。通过完成此创造应变于半导体材料230和232与硅基底202,使得可提升有源区域208中的载流子迁移率,其可允许较大的沟道电流而不增加栅极电压。因此,该半导体材料230和232可被称为“应变”半导体材料,以及凹陷区域222中硅基底202与半导体材料232之间的界面可被称为“应变”界面。本实施例的先进之处在于因应变结构而提升载流子迁移率。额外地,该应变结构升起于该硅基底202上方。在一实施例中,该有源区域208可为一PMOS元件,以及该半导体材料230和232可包括硅锗(SiGe)。在另一实施例中,该有源区域208可为一NMOS元件,以及该半导体材料230和232可包括碳化硅(SiC)。然而,已观察到该SEG工艺315可能无法成长半导体材料232于介电材料例如STI 204上,当半导体材料232典型地使用SEG工艺315成长于一晶体面例如硅基底202。其结果为,形成于该凹陷区域222中的半导体材料232可具有一倾斜的表面,如图2C所示。
请参阅图2D,可通过蚀刻工艺移除该虚置间隙子218。在蚀刻完该虚置间隙子218之后,可形成轻掺杂源极/漏极(简称为LDD)区域235于硅基底202位于栅极堆叠210的一侧,通过本技术领域所公知的离子注入法或扩散工艺。在一实施例中,该有源区域208可为一PMOS元件,且P-型掺杂物例如硼可注入于该PMOS元件208中。在另一实施例中,该有源区域208可为一NMOS元件,且N-型掺杂物例如磷或砷可注入于该NMOS元件208中。如图2D所示,一部分的LDD区域235可形成于硅基底202,另一部分的LDD区域235可形成于半导体材料230和232相对于凹陷区域220和222中。
继续进行方法100的步骤区块140在其中形成第一氧化硅层于该栅极堆叠和该半导体材料之上。请参阅图2E,可通过CVD、ALD、或其他适当的技术,形成一间隙子层240于硅基底202和栅极堆叠210之上。该间隙子层240可包括一氧化物材料例如氧化硅。该间隙子层240可包含一厚度范围大抵介于30埃至200埃。
继续进行方法100的步骤区块150在其中形成一氮化硅层于该第一氧化硅层之上。请参阅图2F,可通过CVD、ALD、或其他适当的技术,形成一间隙子层250于间隙子层240之上。该间隙子层250可包括一氮化物材料例如氮化硅。该间隙子层250可包含一厚度范围大抵介于30埃至200埃。
继续进行方法100的步骤区块160在其中形成一第二氧化硅层于该氮化硅间隙层之上。请参阅图2G,可通过本技术领域所公知的技术,例如CVD或ALD,形成一间隙子层260于间隙子层250之上。该间隙子层260可包括一氧化物材料例如氧化硅。该间隙子层260可包含一厚度范围大抵介于100埃至1000埃。应注意的是,间隙子层260的厚度可分别地大于间隙子层240和250的厚度。有鉴于此,间隙子层260愈厚,可使其愈容易受控制且于后续的蚀刻工艺中最佳化该侧壁/栅极间隙子的临界维度(critical dimension),将于以下内容中讨论。
继续进行方法100的步骤区块170在其中实施一第一干蚀刻工艺以移除一部分的第二氧化硅层。请参阅图2H,可实施蚀刻工艺320于间隙子层260。该蚀刻工艺320可为一干蚀刻工艺且可包含一碳氟基等离子体气体做为一蚀刻剂。该蚀刻工艺320可移除位于该栅极堆叠210上方的一部分的该间隙子层260。该蚀刻工艺320也可移除位于覆盖半导体材料230和232分别于凹陷区域220和222中的一部分的该间隙子层260。因此,在蚀刻工艺320之后,一残留部分的间隙子层260可构成位于栅极堆叠210侧壁上结构265。该结构265的厚度可通过控制蚀刻工艺320的蚀刻速率,并且以可通过控制间隙子层260的初始地沉积步骤(于步骤区块160中)。该结构265可用于保护位于其下方的间隙子层240和250的部分,以避免于之后的蚀刻工艺中被蚀刻。该结构265也可用于避免桥接效应。再者,由于在本实施例中,间隙子层260包括氧化物材料及间隙子层250包括氮化物材料,因此在间隙子层250和260之间可具有充分的蚀刻选择率。因此,间隙子层250可作用为蚀刻工艺320的蚀刻终止层。
继续进行方法100的步骤区块180在其中实施一第二干蚀刻工艺以移除一部分的氮化硅层。请参阅图2I,可实施蚀刻工艺330于间隙子层250。该蚀刻工艺330可为一干蚀刻工艺,移除掉未被结构265保护的间隙子层250的部分。因此,蚀刻工艺330可移除位于栅极堆叠210上方的间隙子层250的部分。该蚀刻工艺330也可移除位于覆盖半导体材料230和232分别于凹陷区域220和222中的一部分的该间隙子层250。在本实施例中,该蚀刻工艺330可为一干蚀刻工艺且可包含一氢-氟基等离子体气体做为一蚀刻剂。再者,由于在本实施例中,间隙子层240包括氧化物材料及间隙子层250包括氮化物材料,因此在间隙子层240和250之间可具有充分的蚀刻选择率。因此,间隙子层240可作用为蚀刻工艺330的蚀刻终止层。
继续进行方法100的步骤区块190在其中实施一第三干蚀刻工艺以移除一部分的第一氧化硅层,由此形成栅极间隙子于栅极堆叠的侧壁上。请参阅图2J,可实施蚀刻工艺340于间隙子层240。该蚀刻工艺340可为一干蚀刻工艺,移除掉未被结构265保护的间隙子层240的部分。因此,蚀刻工艺340可移除位于栅极堆叠210上方的间隙子层240的部分。该蚀刻工艺340也可移除位覆盖半导体材料230和232分别于凹陷区域220和222中的一部分的该间隙子层240。在本实施例中,该蚀刻工艺340可为一干蚀刻工艺且可包含一碳氟基等离子体气体做为一蚀刻剂。该蚀刻工艺340可实质地移除完位于凹陷区域222中的半导体材料232上的间隙子层240,使得在凹陷区域222中的半导体材料232的上面并无残留。在实施蚀刻工艺340之后,可形成间隙子275于栅极堆叠210的侧壁上。该间隙子275可包括一残留的部分的间隙子层250夹置于该结构265与残留的部分的间隙子层240之间。
由此可观察到,若侧壁间隙子已经形成,通过形成一主要的间隙子材料于该基底和该栅极堆叠上,并通过实施干蚀刻工艺于该间隙子材料,可导致间隙子残留的问题。以传统的方法,形成一主要间隙子材料层于基底上,仅以一部分的间隙子材料填入该凹陷区域中。该蚀刻工艺,实施用以形成间隙子且移除位于该凹陷区域中间隙子材料,并非有效率的,因此导致留下间隙子材料残留于该凹陷区域中的应变结构上。该材料残留对于后续的工艺具有不利的效果,例如一离子注入工艺以形成源极/漏极区以及一硅化工艺以形成硅结构于源极/漏极区域及其他有源区域上。相较之下,本实施例可通过数种蚀刻工艺完全地移除位于该凹陷区域222中半导体材料232上的各种间隙子层。因此,本实施例的一优点为不会有不想要的间隙子材料残留存在于半导体装置200中。
应注意的是,可通过额外的步骤继续进行方法100,以完成制造该半导体装置200。例如,通过离子注入法或扩散适当的N-型或P-型掺杂物,形成重掺杂源极/漏极区于该基底202中,于该栅极堆叠210的一侧。该重掺杂源极/漏极区可实质地对准于结构265的外侧侧边。通过硅化工艺形成硅化物结构于源极/漏极区域及多晶硅层上。一接触蚀刻终止层(CESL)可形成于该基底上。一层间介电(ILD)层可形成于CESL上。此外,多个接触与内连线可形成以建构半导体装置200的电性连接。
综上所述,在此所揭示的方法和装置的优点为在一半导体装置的硅基底中形成多个凹陷区域,以及以应变半导体材料填入所述凹陷区域中,以创造出应变界面于该基地和半导体材料之间。上述所揭示的也包括间隙子具有多层的配置且通过实施最佳化的干蚀刻工艺形成。通过这么做,本实施例提供多个优于公知技术的优点。本实施例的优点之一在于该应变界面,其位于该凹陷区域中的半导体材料与该硅基底之间,可提升半导体装置的载流子迁移率。该载流子迁移率允许较大的沟道电流而无须增加栅极电压。本实施例的另一优点在于多层配置的间隙子以及最佳化的蚀刻步骤以克服间隙子残留问题,其可能出现在公知技术中。本实施例的再一优点在于此处所揭示的方法可相容于CMOS工艺流程且可容易地实行。应注意的是,此处所揭示的各不同的实施例提供不同的优点,并且无特定的优点是必需被所有实施例所要求。
本发明虽以各种实施例揭示如上,然其并非用以限定本发明的范围,任何所属技术领域中普通技术人员,在不脱离本发明的精神和范围内,当可做些许的更动与润饰,因此本发明的保护范围当视所附的权利要求所界定的范围为准。

Claims (11)

1.一种半导体装置的制造方法,包括:
提供一硅基底具有一浅沟槽隔离物形成于其上;
形成一栅极堆叠于该硅基底之上;
形成虚置间隙子于该栅极堆叠的侧壁上;
形成一凹陷区于该硅基底中,其中该凹陷区为夹置于该栅极堆叠与该浅沟槽隔离物之间;
外延成长一半导体材料于该凹陷区之内,其中该半导体材料相异于该硅基底;
移除该虚置间隙子;
形成一第一氧化硅层于该栅极堆叠和该半导体材料之上;
形成一氮化硅层于该第一氧化硅层之上;
形成一第二氧化硅层于该氮化硅层之上;
实施一第一干蚀刻工艺以移除一部分的该第二氧化硅层;
实施一第二干蚀刻工艺以移除一部分的该氮化硅层;以及
实施一第三干蚀刻工艺以移除一部分的该第一氧化硅层,借此形成栅极间隙子于该栅极堆叠的侧壁上,其中各栅极间隙子包括一残留部分的该第一氧化硅层、该氮化硅层、及该第二氧化硅层。
2.如权利要求1所述的半导体装置的制造方法,其中形成该凹陷区的步骤包括通过一各向同性蚀刻工艺形成一凹陷区。
3.如权利要求2所述的半导体装置的制造方法,其中该各向同性蚀刻工艺包括一干蚀刻工艺,其利用一HBr等离子体气体;以及其中该干蚀刻工艺包括调整一偏压功率以定义出该凹陷区的一各向同性轮廓。
4.如权利要求1所述的半导体装置的制造方法,其中该第一和第三干蚀刻工艺各利用一碳氟等离子体气体;以及其中该第二干蚀刻工艺利用一碳氢-氟等离子体气体。
5.一种半导体装置的制造方法,包括:
形成一栅极堆叠于一硅基底之上;
形成虚置间隙子于该栅极堆叠的侧壁上;
各向同性地蚀刻该硅基底以形成凹陷区于该栅极堆叠的一侧;
形成一半导体材料于所述凹陷区之内,其中该半导体材料相异于该硅基底;
移除所述虚置间隙子;
形成多个栅极间隙子层,其具有氧化物-氮化物-氧化物配置于该栅极堆叠与该半导体材料上;以及
蚀刻所述间隙子层以形成栅极间隙子于该栅极堆叠的侧壁上。
6.如权利要求5所述的半导体装置的制造方法,其中各向同性地蚀刻该硅基底的步骤包括实施一干蚀刻工艺使用一氢-硼等离子体气体和调整该干蚀刻工艺的一偏压功率以定义出所述凹陷区的一各向同性轮廓。
7.如权利要求5所述的半导体装置的制造方法,其中形成多个栅极间隙子层的步骤包括:
形成一第一氧化层于该栅极堆叠和该半导体材料之上,其中该第一氧化层包含一厚度范围大抵介于30埃至200埃;
形成一氮化层于该第一氧化层之上,其中该氮化层包含一厚度范围大抵介于30埃至200埃;及
形成一第二氧化层于该氮化层之上,其中该第二氧化层包含一厚度范围大抵介于100埃至1000埃。
8.如权利要求7所述的半导体装置的制造方法,其中蚀刻所述间隙子层的步骤包括:
蚀刻该第二氧化层,以一第一干蚀刻工艺使用一碳氟等离子体气体;
蚀刻该氮化层,以一第二干蚀刻工艺使用一碳氢-氟等离子体气体;以及
蚀刻该第一氧化层,以一第三干蚀刻工艺使用一碳氟等离子体气体。
9.一半导体装置,包括:
一硅基底具有一硅区域、多个应变半导体材料区域、及一浅沟槽隔离物区域,其中所述多个应变半导体材料区域之一夹置于该硅区域和该浅沟槽隔离物区域之间,以及其中所述多个应变半导体材料区域包括一各向同性轮廓;以及
一晶体管,包括:
一栅极堆叠于该硅区域上;
多个间隙子形成于该栅极堆叠的侧壁上,其中各间隙子包括一氮化层夹置于一第一氧化层和一第二氧化层之间;以及
轻掺杂源极/漏极区各形成于该硅区域的一部分位于该间隙子下方以及形成于应变半导体材料区域的一部分。
10.如权利要求9所述的半导体装置,其中该应变半导体材料区域包括硅锗或碳化硅。
11.如权利要求9所述的半导体装置,其中所述多个应变半导体材料区域之一被该浅沟槽隔离物区域截断使得所述多个应变半导体材料区域之一具有一斜的表面。
CN200910165189A 2009-03-31 2009-07-27 半导体装置及其制造方法 Pending CN101853813A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/415,021 2009-03-31
US12/415,021 US8143131B2 (en) 2009-03-31 2009-03-31 Method of fabricating spacers in a strained semiconductor device

Publications (1)

Publication Number Publication Date
CN101853813A true CN101853813A (zh) 2010-10-06

Family

ID=42783040

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910165189A Pending CN101853813A (zh) 2009-03-31 2009-07-27 半导体装置及其制造方法

Country Status (3)

Country Link
US (3) US8143131B2 (zh)
CN (1) CN101853813A (zh)
TW (1) TWI464809B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446747A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 形成侧墙以及pmos晶体管的方法
CN102522327A (zh) * 2011-12-22 2012-06-27 上海华虹Nec电子有限公司 自对准低电阻栅极rf ldmos的制造方法
CN102569408A (zh) * 2012-02-28 2012-07-11 上海华力微电子有限公司 一种高擦写速度的sonos单元晶体管及其制造方法
CN102569085A (zh) * 2010-12-29 2012-07-11 中芯国际集成电路制造(上海)有限公司 金属氧化物半导体管的制作方法
CN102789983A (zh) * 2011-05-16 2012-11-21 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法
CN109786380A (zh) * 2017-11-10 2019-05-21 联华电子股份有限公司 半导体存储装置的外延接触结构的制作方法
CN112542446A (zh) * 2019-09-23 2021-03-23 南亚科技股份有限公司 具有气隙的半导体元件结构及其制备方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080079999A (ko) * 2007-02-28 2008-09-02 토소가부시키가이샤 에칭 방법 및 그것에 이용되는 에칭용 조성물
US8143131B2 (en) * 2009-03-31 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating spacers in a strained semiconductor device
CN102217070B (zh) * 2009-09-03 2013-09-25 松下电器产业株式会社 半导体装置及其制造方法
KR101852342B1 (ko) 2011-03-23 2018-04-27 삼성전자주식회사 반도체 소자 및 그의 제조방법
US8853796B2 (en) * 2011-05-19 2014-10-07 GLOBALFOUNDIERS Singapore Pte. Ltd. High-K metal gate device
JP6104522B2 (ja) * 2011-06-10 2017-03-29 株式会社半導体エネルギー研究所 半導体装置
US8859356B2 (en) * 2011-07-12 2014-10-14 Globalfoundries Inc. Method of forming metal silicide regions on a semiconductor device
US20130171789A1 (en) * 2012-01-04 2013-07-04 Ling-Chun Chou Method for manufacturing semiconductor device
US8791003B2 (en) * 2012-06-21 2014-07-29 GlobalFoundries, Inc. Methods for fabricating integrated circuits with fluorine passivation
KR20140059107A (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
US9322799B2 (en) * 2013-04-03 2016-04-26 International Business Machines Corporation High-k metal gate device structure for human blood gas sensing
KR20140121634A (ko) * 2013-04-08 2014-10-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN104425379A (zh) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9349835B2 (en) * 2013-09-16 2016-05-24 Globalfoundries Inc. Methods for replacing gate sidewall materials with a low-k spacer
US9570554B2 (en) 2014-04-04 2017-02-14 International Business Machines Corporation Robust gate spacer for semiconductor devices
US9812570B2 (en) 2015-06-30 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102526580B1 (ko) 2016-01-11 2023-04-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102482369B1 (ko) * 2016-07-06 2022-12-29 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9634138B1 (en) * 2016-08-24 2017-04-25 Qualcomm Incorporated Field-effect transistor (FET) devices employing adjacent asymmetric active gate / dummy gate width layout
WO2018063399A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Layered spacer formation for ultrashort channel lengths and staggered field plates
CN108281423B (zh) * 2016-12-30 2020-11-10 联华电子股份有限公司 制作半导体元件的方法
CN108630713B (zh) * 2017-03-17 2020-11-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102657866B1 (ko) 2019-06-10 2024-04-17 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
TWI740419B (zh) * 2020-03-19 2021-09-21 華邦電子股份有限公司 半導體結構及其形成方法
US11309185B2 (en) * 2020-04-27 2022-04-19 Taiwan Semiconductor Manufacturing Company Limited Fin field-effect transistor and method of forming the same

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432773B1 (en) * 1999-04-08 2002-08-13 Microchip Technology Incorporated Memory cell having an ONO film with an ONO sidewall and method of fabricating same
US6555865B2 (en) * 2001-07-10 2003-04-29 Samsung Electronics Co. Ltd. Nonvolatile semiconductor memory device with a multi-layer sidewall spacer structure and method for manufacturing the same
EP1456877B1 (en) * 2001-12-19 2010-07-21 Advanced Micro Devices, Inc. Method for composite spacer liner for improved transistor performance
US6764911B2 (en) * 2002-05-10 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Multiple etch method for fabricating spacer layers
US7078347B2 (en) * 2003-03-06 2006-07-18 Texas Instruments Incorporated Method for forming MOS transistors with improved sidewall structures
US20050040479A1 (en) * 2003-08-20 2005-02-24 Pdf Solutions Oxide-Nitride-Oxide spacer with oxide layers free of nitridization
DE10339989B4 (de) * 2003-08-29 2008-04-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US7306995B2 (en) * 2003-12-17 2007-12-11 Texas Instruments Incorporated Reduced hydrogen sidewall spacer oxide
US7091098B2 (en) * 2004-04-07 2006-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with spacer having batch and non-batch layers
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7112497B2 (en) * 2004-06-25 2006-09-26 Texas Instruments Incorporated Multi-layer reducible sidewall process
US7129127B2 (en) * 2004-09-24 2006-10-31 Texas Instruments Incorporated Integration scheme to improve NMOS with poly cap while mitigating PMOS degradation
US7405131B2 (en) * 2005-07-16 2008-07-29 Chartered Semiconductor Manufacturing, Ltd. Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
CN100536090C (zh) * 2005-09-19 2009-09-02 中芯国际集成电路制造(上海)有限公司 形成cmos半导体器件的方法
US7491615B2 (en) * 2005-09-23 2009-02-17 United Microelectronics Corp. Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
US7226831B1 (en) * 2005-12-27 2007-06-05 Intel Corporation Device with scavenging spacer layer
US7413961B2 (en) * 2006-05-17 2008-08-19 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a transistor structure
US7482211B2 (en) * 2006-06-22 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Junction leakage reduction in SiGe process by implantation
US20070298557A1 (en) * 2006-06-22 2007-12-27 Chun-Feng Nieh Junction leakage reduction in SiGe process by tilt implantation
US7560758B2 (en) * 2006-06-29 2009-07-14 International Business Machines Corporation MOSFETs comprising source/drain recesses with slanted sidewall surfaces, and methods for fabricating the same
US8143131B2 (en) * 2009-03-31 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating spacers in a strained semiconductor device

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446747A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 形成侧墙以及pmos晶体管的方法
CN102569085A (zh) * 2010-12-29 2012-07-11 中芯国际集成电路制造(上海)有限公司 金属氧化物半导体管的制作方法
CN102789983A (zh) * 2011-05-16 2012-11-21 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法
CN102789983B (zh) * 2011-05-16 2015-11-25 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法
CN102522327A (zh) * 2011-12-22 2012-06-27 上海华虹Nec电子有限公司 自对准低电阻栅极rf ldmos的制造方法
CN102569408A (zh) * 2012-02-28 2012-07-11 上海华力微电子有限公司 一种高擦写速度的sonos单元晶体管及其制造方法
CN109786380A (zh) * 2017-11-10 2019-05-21 联华电子股份有限公司 半导体存储装置的外延接触结构的制作方法
CN109786380B (zh) * 2017-11-10 2020-11-10 联华电子股份有限公司 半导体存储装置的外延接触结构的制作方法
CN112542446A (zh) * 2019-09-23 2021-03-23 南亚科技股份有限公司 具有气隙的半导体元件结构及其制备方法
CN112542446B (zh) * 2019-09-23 2024-03-08 南亚科技股份有限公司 具有气隙的半导体元件结构及其制备方法

Also Published As

Publication number Publication date
US20120146057A1 (en) 2012-06-14
US20150228790A1 (en) 2015-08-13
US9117840B2 (en) 2015-08-25
TW201036070A (en) 2010-10-01
TWI464809B (zh) 2014-12-11
US20100244153A1 (en) 2010-09-30
US9419099B2 (en) 2016-08-16
US8143131B2 (en) 2012-03-27

Similar Documents

Publication Publication Date Title
CN101853813A (zh) 半导体装置及其制造方法
US20210391465A1 (en) Method of fabricating a source/drain recess in a semiconductor device
US10325820B1 (en) Source and drain isolation for CMOS nanosheet with one block mask
US9252058B2 (en) Semiconductor device and method of fabricating the same
TWI424474B (zh) 半導體裝置及其製造方法
US8084824B2 (en) Metal gate transistor and method for fabricating the same
US7314804B2 (en) Plasma implantation of impurities in junction region recesses
US8530316B2 (en) Method for fabricating a semiconductor device
JP5326274B2 (ja) 半導体装置および半導体装置の製造方法
US8828832B2 (en) Strained structure of semiconductor device
US8343872B2 (en) Method of forming strained structures with compound profiles in semiconductor devices
US20110201164A1 (en) Method of Dual EPI Process For Semiconductor Device
CN102292800A (zh) 具有SiGe沟道的双高k氧化物
US8860150B2 (en) Metal gate structure
CN102244098A (zh) 半导体装置及其制造方法
US9583572B2 (en) FinFET devices having silicon germanium channel fin structures with uniform thickness
TW201701320A (zh) 半導體裝置與其之製造方法
US20130178055A1 (en) Methods of Forming a Replacement Gate Electrode With a Reentrant Profile
TWI731350B (zh) 積體電路及其製造方法
TWI509702B (zh) 具有金屬閘極之電晶體及其製作方法
TW201828354A (zh) 半導體元件的製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20101006