CN101789395A - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN101789395A
CN101789395A CN201010003888A CN201010003888A CN101789395A CN 101789395 A CN101789395 A CN 101789395A CN 201010003888 A CN201010003888 A CN 201010003888A CN 201010003888 A CN201010003888 A CN 201010003888A CN 101789395 A CN101789395 A CN 101789395A
Authority
CN
China
Prior art keywords
semiconductor device
manufacture method
carry out
dielectric material
etching step
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201010003888A
Other languages
English (en)
Inventor
陈能国
曾国华
蔡正原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to CN201410186381.7A priority Critical patent/CN104008994B/zh
Publication of CN101789395A publication Critical patent/CN101789395A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners

Abstract

本发明涉及一种具有鳍状体的半导体装置的制造方法,首先于基板上形成一图案化屏蔽,然后于基板内形成凹槽,并于凹槽中填入介电材料,之后将图案化屏蔽移除,并以一种或多种蚀刻工艺来内凹介电材料,其中前述蚀刻工艺的至少其中之一是用以移除沿着凹槽边墙所形成的围栏或防止前述围栏的形成。前述蚀刻工艺可为例如采用NH3与NF3的等离子蚀刻工艺、采用富高分子气体的蚀刻工艺或氢气蚀刻工艺。

Description

半导体装置的制造方法
技术领域
本发明是关于一种半导体装置,且特别是关于应用含氮内衬层以改善隔离结构的制造方法。
背景技术
今用于制造超大规模集成电路(ultra-large scale integrated circuit,ULSI)的主要半导体技术为金属氧化物半导体场效应晶体管(MOSFET)技术。过去数十年来,由于金属氧化物半导体场效应晶体管尺寸的缩小,已使组件的操作速度、性能、电路密度以及每单位效能所花费的成本均获得持续性的改善。然而,随着传统基体金属氧化物半导体场效应晶体管(bulk MOSFET)内栅极长度的缩小,源极和漏极与其间通道的相互作用逐渐增加,并逐渐影响到通道电位,因此栅极长度小的晶体管容易遭受栅极对其通道的开启与关闭状态的控制能力不足的问题。
如有关短通道长度的晶体管所减少对栅极控制的现象,即所谓短通道效应,而增加基体掺杂浓度、降低栅极氧化层厚度以及使用超浅源极/漏极接面,均可抑制该短通道效应。然而,当组件尺寸进入次20纳米时代(N2x nmregime),研究表示包含使用鳍式场效晶体管(fin field-effect transistors,finFETs)在内的多种方法,得以改善短通道效应。
一般而言,鳍状体是以在硅基板上蚀刻凹槽所形成,其采用临场蒸气产生技术(in-situ steam generation,ISSG)沿着凹槽边墙形成一内衬层氧化物,然后以高密度等离子(high-density plasma,HDP)氧化物或高纵横比工艺(high-aspect-ratio process,HARP)氧化物来填入凹槽,而一般会使用回蚀工艺来对凹槽中的氧化物进行内凹(recess)步骤,从而形成鳍状体。在回蚀工艺中,由于内衬层氧化物与高密度等离子/高纵横比工艺氧化物间的蚀刻速率的不同,因此,氧化物围栏常沿着凹槽边墙形成。这些氧化物围栏可能导致更薄的栅极氧化层或底部氧化层,并可能对鳍式场效晶体管的栅极漏电现象造成不利的影响。
因此,形成无氧化物围栏或缩小的氧化物围栏的半导体装置的结构以及方法是必要的。
发明内容
本发明的一实施例提供一种半导体装置的制造方法。首先,提供一基板,并形成一个或多个鳍状体于此基板上,然后在相邻的鳍状体间所构成的区域内填入介电材料,随后内凹介电材料,且未导致沿着鳍状体边墙形成的围栏的生成。内凹介电材料的方法,可使用例如包含采用NH3与NF3的等离子蚀刻工艺、采用富高分子气体的蚀刻工艺或氢气蚀刻工艺之中的一种或多种蚀刻工艺。
根据本发明的另一实施例,本发明提供一种制造半导体装置的方法。首先,提供一基板,并形成一个或多个凹槽于基板内,然后把介电材料填入凹槽的一部分,再内凹介电材料以致围栏余留在沿着凹槽的边墙,随后移除这些围栏。移除这些围栏的方法,可使用例如包含采用NH3与NF3的等离子蚀刻工艺、采用富高分子气体的蚀刻工艺或氢气蚀刻工艺之中的一种或多种蚀刻工艺。
根据本发明的又一实施例,本发明提供一种制造半导体装置的方法。首先,提供一内部具有一凹槽的基板,于凹槽内填入介电材料,并执行第一蚀刻步骤以内凹介电材料,使得介电材料的顶面低于基板的顶面,然后执行第二蚀刻步骤以移除沿着凹槽边墙的介电材料。第二蚀刻步骤可包含例如采用NH3与NF3的等离子蚀刻工艺、采用富高分子气体的蚀刻工艺或氢气蚀刻工艺之中的一种或多种蚀刻工艺。
附图说明
为让本发明的上述和其它目的、特征、优点与实施例能更明显易懂,所附附图的说明如下:
图1-5是绘示依照本发明一实施例的一种形成鳍状体的方法;
图6是绘示依照本发明一实施例的另一种形成鳍状体的方法。
【主要组件符号说明】
102:半导体基板
104:图案化屏蔽
202:凹槽
204:鳍状体
206:绝缘部
402:凹陷处
404:氧化物围栏
具体实施方式
如图1-5所示为依照本发明一实施例的一种制造绝缘结构的中间阶段,本发明的一些实施例特别有益于制造可应用的半导体(如:硅)鳍状物,例如鳍式场效晶体管(finFETs),而本发明的其它实施例则可用在其它类型的装置。此外,诸如参考数字为指定特定组件之用…等,则应用在本发明的各种实施例中皆准。
首先,图1所示为依照本发明一实施例的半导体基板102,此半导体基板102具有一图案化屏蔽104于其上。此半导体基板102可包含如经掺杂或未经掺杂的基体硅,或绝缘体上半导体(semiconductor on insulator,SOI)基板的主动层。一般而言,绝缘体上半导体基板包含一层形成于绝缘层上的半导体材料(如:硅)层,而绝缘层可为埋入式氧化层(buried oxide,BOX)或氧化硅层,且此绝缘层形成于基板上,如硅基板或玻璃基板,亦可使用其它种基板,如多层状基板或梯度基板。
图案化屏蔽104定义出绝缘凹槽于随后的工艺步骤中将形成的样式,且此图案化屏蔽104包含由一层或多层介电层所组成的硬屏蔽。举例而言,此硬屏蔽可为例如由热氧化法、化学气相沉积法(CVD)或类似方法所形成的二氧化硅层或氮化硅层,且此硬屏蔽亦可由其它介电材料所形成,如氮氧化硅。此外,也会采用如二氧化硅层与氮化硅层的多层硬屏蔽。再者,亦会采用其它材料如金属、氮化金属、氧化金属或其它类似材料;举例而言,此硬屏蔽可由钨所形成。
接着,如图1所示,图案化屏蔽104是利用如已知的光刻技术来进行图案化。一般而言,光刻技术包含沉积光阻材料,并配合所需图形以进行紫外线照射。随后,经显影移除部份光阻材料,而留存的光阻材料在后续的步骤(例如:蚀刻)中能保护位于其下的材料。在此步骤中,光阻材料是用以形成图案化屏蔽104,使图案化屏蔽104得以定义出绝缘凹槽的图案。
图2是依照本发明一实施例绘示一种位于半导体基板102中的凹槽202的结构。此半导体基板102暴露的部分可经蚀刻形成如图2所示的半导体基板102中的凹槽202。半导体基板102可以例如HBr/O2、HBr/Cl2/O2或SF6/Cl2等离子来进行蚀刻。具体地来说,在半导体基板102中相邻凹槽202之间的区域形成了鳍状体204。在本发明一实施例中,凹槽202的深度介于约
Figure G2010100038886D00041
和约
Figure G2010100038886D00042
之间。
依照本发明一实施例,绝缘部206是以介电材料填入凹槽202所形成,且凹槽202可通过形成一介电层于图案化屏蔽104上而实质上填满凹槽202的方式来填入介电材料。在本发明一实施例中,前述介电层包含一二氧化硅层,此二氧化硅层可采用以下步骤来形成:首先,沿着边墙形成一采用临场蒸气产生技术(ISSG)的氧化层,随后以具有SiH4与氧气的混合物的化学气相沉积法来形成一高密度等离子(HDP)氧化层。在本发明一实施例中,介电层的厚度介于约
Figure G2010100038886D00043
和约
Figure G2010100038886D00044
之间。
然后,执行平坦化工艺以移除多余的材料,其中前述介电层可以例如采用氧化物研浆的化学机械研磨法(chemical-mechanical polishing,CMP)来使其平坦化,其中图案化屏蔽104可作为蚀刻停止层。
图3是依照本发明一实施例绘示一种经移除图案化屏蔽104后的结构,此图案化屏蔽104可以例如采用磷酸溶液的浸湿工艺来移除。
图4是依照本发明一实施例绘示一种经第一回蚀步骤在绝缘部206介电材料内形成的凹陷处402。如前所提,位于相邻凹槽202之间的半导体基板102部分,即为鳍式场效晶体管的鳍状体204。确切而言,在凹槽202内的介电材料己执行内凹程序,从而暴露出鳍状体204的边墙。在本发明一实施例中,介电材料为二氧化硅,而前述内凹程序至少部分是以采用稀释氢氟酸的湿式蚀刻工艺来执行。
如图4所示,沿着凹槽202边墙形成的二氧化硅的蚀刻速率,与形成于凹槽202中央的二氧化硅的蚀刻速率有所不同,此蚀刻速率的差异至少有部分是因为形成二氧化硅所采用的方法所致。如前所述,二氧化硅通常是采用以下步骤来形成:首先,沿着边墙形成一采用临场蒸气产生技术的氧化层,随后形成一高密度等离子(HDP)/高纵横比工艺(HARP)氧化物于前述氧化层之上。由于采用不同的方法来形成氧化硅层,高密度等离子/高纵横比工艺氧化物的蚀刻速率较采用临场蒸气产生技术的氧化层的蚀刻速率快,因此造成绝缘部206中央部份的蚀刻速率较边墙部份的蚀刻速率快,导致了如标号404所指示的氧化物围栏的产生。
图5是依照本发明一实施例绘示一种经第二回蚀步骤以移除氧化物围栏404(请参照图4)的结构。在本发明一实施例中,介电材料包含二氧化硅,而第二回蚀步骤可为一采用NF3与NH3作为操作气体并采用惰性气体(例如氦、氖、氩、氪、氙、氡或其组合物)作为载气的等离子工艺。前述步骤在以下工艺条件为佳,包含一摄氏约30度的温度、一介于约5毫托尔(mtorr)和约20托尔(torr)之间的压力、一介于约10瓦特和约300瓦特之间的射频功率以及一介于约2秒和约600秒之间的工艺时间。
NF3与NH3化合成等离子的型态,使得蚀刻剂NH4F与NH4F.HF产生,而这些蚀刻剂与二氧化硅起反应,生成(NH4)2SiF6(在此为固态)与水,且此固态材料沿着凹陷处402的底部形成,并作为一屏蔽以降低凹陷处402底部的蚀刻或内凹程度。因此,沿着凹槽202边墙的介电材料的蚀刻速率较沿着凹陷处402底部的蚀刻速率快。
在移除沿着凹槽202边墙的介电材料后,前述固态材料,例如(NH4)2SiF6,可以一高于摄氏约100度的升华程序将其移除,此升华程序将产生SiF4、NH3与HF的气体。
在本发明的另一实施例中,由二氧化硅所形成的氧化物围栏404可以富高分子气体将其移除。举例而言,可采用例如CHF3、CH2F2、CH3F、C4F6、C4F8、C5F8或类似物质。前述步骤在以下工艺条件为佳,包含一介于摄氏约10度和摄氏约70度之间的温度、一介于约5毫托尔和约20托尔之间的压力、一介于约10瓦特和约300瓦特之间的射频功率以及一介于约2秒和约600秒之间的步骤时间。
在蚀刻的过程中,一聚合物沿着凹陷处402底部形成,此聚合物作为一屏蔽以降低凹陷处402底部的蚀刻速率。因此,沿着凹槽202边墙的介电材料的蚀刻速率较沿着凹陷处402底部的介电材料的蚀刻速率快。在移除沿着凹槽202边墙的介电材料后,沿着凹陷处402底部形成的聚合物可以一高于摄氏约100度的升华程序将其移除。
图6是依照本发明另一实施例绘示一种经移除氧化物围栏404(请参照图4)的结构,其中形成图6中所示的结构的步骤可于例如前述图1至4中所采用的步骤执行过后才执行,其中同样的参考标号是对照同样的组件。
在本实施例中,采用氢气作为操作气体以及惰性气体(例如氦、氖、氩、氪、氙、氡或其组合物)作为载气来移除氧化物围栏404。前述步骤在以下工艺条件为佳,包含一介于摄氏约500度和摄氏约1100度之间的温度、一介于约2托尔和约500托尔之间的压力以及一介于约2秒和约60分钟之间的工艺时间。
氢气与硅基板反应,接着与二氧化硅反应以生成一吸收硅和水气,此吸收硅接着进一步与二氧化硅反应生成氧化硅气体。由于此步骤,使得邻接于半导体基板102暴露部分的二氧化硅,其蚀刻速率较沿着凹陷处402底部形成的二氧化硅的蚀刻速率快,从而得以移除氧化物围栏404。此步骤也会导致由基板所提供的硅原子转变为氧化硅气体此一生成物,此结果将造成如图6所示的鳍状体204的边角因而变圆。
值得注意的是,前述的步骤亦可在不执行如图4中所提及的第一蚀刻步骤的状况下进行。举例而言,如前述图3中的结构,是以采用NF3与NH3的等离子工艺的单一回蚀步骤所形成。如前所述,固态(N4)2SiF6的形成会减缓垂直方向的蚀刻速率,从而使得沿着边墙形成的二氧化硅(如采用临场蒸气产生技术的氧化层)的蚀刻速率相等于垂直方向的蚀刻速率。如前所提,固态材料(NH4)2SiF6可以一高于摄氏约100度的升华程序来进行移除。
同样地,单一回蚀步骤可如上述采用富高分子气体(如:CHF3、CH2F2、CH3F、C4F6、C4F8、C5F8或类似物质)来执行。当于垂直方向上内凹介电材料时,沿着介电材料的水平表面所形成的固态聚合物层,会使得沿着边墙形成的二氧化硅(如采用临场蒸气产生技术的氧化层)的蚀刻速率相等于垂直方向的蚀刻速率。如前所提,固态聚合物层可以一高于摄氏约100度的升华程序将其移除。
单一回蚀步骤亦可使用于如前述图6中采用氢气以内凹介电材料的步骤。使用前述的相同结构,氢气与硅基板反应以蚀刻绝缘部206的二氧化硅,进而避免氧化物围栏404(请参照图4)的形成。
此后,可采用其它步骤来完成所需装置的制作。举例而言,为形成一鳍式场效晶体管,将形成例如下列构造:栅极介电层、栅极电极、上覆介电层、上覆金属化层,并执行切单(singulation)与封装步骤。
任何熟悉此技艺者,可了解移除围栏将避免更薄的栅极氧化层的形成,从而降低栅极漏电现象并改善晶体管的性能。
虽然本发明已以实施方式揭露如上,然其并非用以限定本发明,任何熟悉此技术者,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,因此本发明的保护范围当视权利要求书所界定的范围为准。

Claims (20)

1.一种半导体装置的制造方法,其特征在于,包含:
提供一基板;
于该基板内形成一个或多个鳍状体;
于该些鳍状体中相邻数者间的区域内填入介电材料;以及
内凹该介电材料使其低于该些鳍状体的顶面,其中高于一凹陷处底部的沿着该些鳍状体边墙形成的该介电材料被移除。
2.根据权利要求1所述的半导体装置的制造方法,其特征在于,该内凹步骤包含:
执行一第一蚀刻步骤,用以内凹该介电材料以致沿着该些鳍状体的边墙所残留的该介电材料形成围栏;以及
执行一第二蚀刻步骤,用以移除该些围栏。
3.根据权利要求2所述的半导体装置的制造方法,其特征在于,该第二蚀刻步骤包含:
执行一采用NH3与NF3的等离子蚀刻工艺。
4.根据权利要求2所述的半导体装置的制造方法,其特征在于,该第二蚀刻步骤包含:
执行一采用富高分子气体的蚀刻工艺。
5.根据权利要求4所述的半导体装置的制造方法,其特征在于,该富高分子气体包含CHF3、CH2F2、CH3F、C4F6、C4F8或C5F8
6.根据权利要求2所述的半导体装置的制造方法,其特征在于,该第二蚀刻步骤包含:
执行一氢气蚀刻工艺。
7.根据权利要求1所述的半导体装置的制造方法,其特征在于,该内凹步骤包含:
执行一单一蚀刻步骤。
8.根据权利要求7所述的半导体装置的制造方法,其特征在于,该单一蚀刻步骤包含:
执行一采用NH3与NF3的等离子蚀刻工艺。
9.根据权利要求7所述的半导体装置的制造方法,其特征在于,该单一蚀刻步骤包含:
执行一采用富高分子气体的蚀刻工艺。
10.根据权利要求7所述的半导体装置的制造方法,其特征在于,该单一蚀刻步骤包含:
执行一氢气蚀刻工艺。
11.一种半导体装置的制造方法,其特征在于,包含:
提供一基板;
于该基板内形成一个或多个凹槽;
于该一个或多个凹槽内的至少一部分填入介电材料;
内凹该一个或多个凹槽内的该介电材料,该内凹步骤致使沿着该一个或多个凹槽的边墙所残留的该介电材料形成围栏;以及
移除沿着该一个或多个凹槽边墙形成的该介电材料围栏。
12.根据权利要求11所述的半导体装置的制造方法,其特征在于,移除该些围栏的步骤至少部分是以采用NH3与NF3的等离子蚀刻工艺来执行。
13.根据权利要求11所述的半导体装置的制造方法,其特征在于,移除该些围栏的步骤至少部分是以采用富高分子气体的蚀刻工艺来执行。
14.根据权利要求13所述的半导体装置的制造方法,其特征在于,该富高分子气体包含CHF3、CH2F2、CH3F、C4F6、C4F8或C5F8
15.根据权利要求11所述的半导体装置的制造方法,其特征在于,移除该些围栏的步骤至少部分是以氢气蚀刻工艺来执行。
16.根据权利要求11所述的半导体装置的制造方法,其特征在于,移除步骤导致一固体沿着介电材料顶面形成,并进一步包含以下步骤:
以升华程序移除该固体。
17.一种半导体装置的制造方法,其特征在于,包含:
提供一内部具有一凹槽的基板;
于该凹槽内填入介电材料;
执行一第一蚀刻步骤以内凹该介电材料,使得该介电材料的顶面低于该基板的顶面;以及
执行一第二蚀刻步骤以移除沿着该凹槽边墙形成的该介电材料。
18.根据权利要求17所述的半导体装置的制造方法,其特征在于,该第一蚀刻步骤与该第二蚀刻步骤为一单一的连续蚀刻步骤。
19.根据权利要求17所述的半导体装置的制造方法,其特征在于,该第二蚀刻步骤为一沉积蚀刻工艺。
20.根据权利要求17所述的半导体装置的制造方法,其特征在于,该第二蚀刻步骤包含:
执行一采用NH3与NF3的等离子蚀刻工艺;
执行一采用富高分子气体的蚀刻工艺;以及
执行一氢气蚀刻工艺。
CN201010003888A 2009-01-26 2010-01-15 半导体装置的制造方法 Pending CN101789395A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410186381.7A CN104008994B (zh) 2009-01-26 2010-01-15 半导体装置的制造方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14716409P 2009-01-26 2009-01-26
US61/147,164 2009-01-26
US12/617,463 2009-11-12
US12/617,463 US9159808B2 (en) 2009-01-26 2009-11-12 Selective etch-back process for semiconductor devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201410186381.7A Division CN104008994B (zh) 2009-01-26 2010-01-15 半导体装置的制造方法

Publications (1)

Publication Number Publication Date
CN101789395A true CN101789395A (zh) 2010-07-28

Family

ID=42354499

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410186381.7A Active CN104008994B (zh) 2009-01-26 2010-01-15 半导体装置的制造方法
CN201010003888A Pending CN101789395A (zh) 2009-01-26 2010-01-15 半导体装置的制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410186381.7A Active CN104008994B (zh) 2009-01-26 2010-01-15 半导体装置的制造方法

Country Status (2)

Country Link
US (1) US9159808B2 (zh)
CN (2) CN104008994B (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102479716A (zh) * 2010-11-29 2012-05-30 中芯国际集成电路制造(北京)有限公司 晶体管的制作方法
CN103137445A (zh) * 2011-12-05 2013-06-05 中芯国际集成电路制造(上海)有限公司 形成Finfet掺杂鳍状物的方法
WO2014071649A1 (zh) * 2012-11-09 2014-05-15 中国科学院微电子研究所 鳍结构及其制造方法
CN103943500A (zh) * 2013-01-22 2014-07-23 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的制作方法
CN104078362A (zh) * 2013-03-29 2014-10-01 中国科学院微电子研究所 半导体器件制造方法
CN104078349A (zh) * 2013-03-29 2014-10-01 中国科学院微电子研究所 半导体器件制造方法
CN104078350A (zh) * 2013-03-29 2014-10-01 中国科学院微电子研究所 半导体器件制造方法
CN105097517A (zh) * 2014-04-25 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种FinFET器件及其制造方法、电子装置
CN107464749A (zh) * 2017-07-28 2017-12-12 北京北方华创微电子装备有限公司 蚀刻方法和蚀刻系统
CN104008994B (zh) * 2009-01-26 2020-06-09 台湾积体电路制造股份有限公司 半导体装置的制造方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090127648A1 (en) * 2007-11-15 2009-05-21 Neng-Kuo Chen Hybrid Gap-fill Approach for STI Formation
US8319311B2 (en) * 2009-03-16 2012-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid STI gap-filling approach
US9953885B2 (en) * 2009-10-27 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. STI shape near fin bottom of Si fin in bulk FinFET
US20120217467A1 (en) * 2011-02-24 2012-08-30 Globalfoundries Singapore Pte. Ltd. Buried channel finfet sonos with improved p/e cycling endurance
KR102366087B1 (ko) * 2013-12-23 2022-02-23 인텔 코포레이션 다수의 핀 피치 구조에 걸쳐 곧고, 높고, 균일한 핀을 위한 진보된 에칭 기법
CN105575811B (zh) * 2014-10-14 2019-01-29 中芯国际集成电路制造(上海)有限公司 FinFET鳍片的制作方法
JP2017152531A (ja) * 2016-02-24 2017-08-31 東京エレクトロン株式会社 基板処理方法
CN107293489A (zh) * 2016-04-05 2017-10-24 中芯国际集成电路制造(上海)有限公司 改善鳍式场效应管性能的方法
US9728397B1 (en) 2016-05-10 2017-08-08 United Microelectronics Corp. Semiconductor device having the insulating layers cover a bottom portion of the fin shaped structure
JP6742265B2 (ja) * 2017-03-28 2020-08-19 東京エレクトロン株式会社 洗浄副生成物の付着抑制方法及びこれを用いた反応室内のクリーニング方法、並びに室温成膜装置
US10269936B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
WO2021150625A1 (en) * 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
CN111892931B (zh) * 2020-08-06 2021-09-03 浙江森田新材料有限公司 一种boe蚀刻液

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US5350492A (en) * 1992-09-18 1994-09-27 Advanced Micro Devices, Inc. Oxide removal method for improvement of subsequently grown oxides
US6074954A (en) * 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
TW411553B (en) * 1999-08-04 2000-11-11 Mosel Vitelic Inc Method for forming curved oxide on bottom of trench
KR100302189B1 (ko) * 1999-10-05 2001-11-02 윤종용 에스.오.아이(soi)구조를 갖는 반도체 소자 및 그 제조방법
US6794268B2 (en) * 2002-07-31 2004-09-21 Intel Corporation Fabricating deeper and shallower trenches in semiconductor structures
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
CN1238886C (zh) * 2002-10-28 2006-01-25 南亚科技股份有限公司 介电层回蚀刻方法
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US6825078B1 (en) * 2003-05-23 2004-11-30 Taiwan Semiconductor Manufacturing Company Single poly-Si process for DRAM by deep N well (NW) plate
KR100520222B1 (ko) * 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
JP2005142481A (ja) * 2003-11-10 2005-06-02 Nec Electronics Corp 半導体装置の製造方法
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100577565B1 (ko) * 2004-02-23 2006-05-08 삼성전자주식회사 핀 전계효과 트랜지스터의 제조방법
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
KR20070009729A (ko) * 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
KR100545864B1 (ko) * 2004-05-25 2006-01-24 삼성전자주식회사 반도체 장치의 제조 방법
KR100615570B1 (ko) * 2004-07-05 2006-08-25 삼성전자주식회사 둥근 활성코너를 갖는 리세스 채널 모스 트랜지스터의제조방법
TWI277210B (en) * 2004-10-26 2007-03-21 Nanya Technology Corp FinFET transistor process
JP2006237434A (ja) * 2005-02-28 2006-09-07 Oki Electric Ind Co Ltd 半導体記憶装置およびその製造方法
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7381649B2 (en) * 2005-07-29 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for a multiple-gate FET device and a method for its fabrication
KR100657969B1 (ko) * 2005-08-30 2006-12-14 삼성전자주식회사 한 쌍의 핀-타입 채널 영역들에 대응하는 단일 게이트전극을 갖는 반도체 소자의 제조 방법
KR100688576B1 (ko) * 2005-10-14 2007-03-02 삼성전자주식회사 수직채널 트랜지스터를 갖는 반도체 메모리 장치 및 그제조방법
KR100695498B1 (ko) * 2005-12-28 2007-03-16 주식회사 하이닉스반도체 수직형 채널을 갖는 반도체소자 및 그의 제조 방법
DE102006001680B3 (de) * 2006-01-12 2007-08-09 Infineon Technologies Ag Herstellungsverfahren für eine FinFET-Transistoranordnung und entsprechende FinFET-Transistoranordnung
US7799694B2 (en) * 2006-04-11 2010-09-21 Micron Technology, Inc. Methods of forming semiconductor constructions
KR100838378B1 (ko) * 2006-09-29 2008-06-13 주식회사 하이닉스반도체 핀트랜지스터의 제조 방법
KR100757335B1 (ko) * 2006-10-18 2007-09-11 삼성전자주식회사 불휘발성 메모리 장치 및 이를 제조하는 방법
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
US9159808B2 (en) * 2009-01-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etch-back process for semiconductor devices

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104008994B (zh) * 2009-01-26 2020-06-09 台湾积体电路制造股份有限公司 半导体装置的制造方法
CN102479716A (zh) * 2010-11-29 2012-05-30 中芯国际集成电路制造(北京)有限公司 晶体管的制作方法
CN103137445B (zh) * 2011-12-05 2015-12-02 中芯国际集成电路制造(上海)有限公司 形成Finfet掺杂鳍状物的方法
CN103137445A (zh) * 2011-12-05 2013-06-05 中芯国际集成电路制造(上海)有限公司 形成Finfet掺杂鳍状物的方法
WO2014071649A1 (zh) * 2012-11-09 2014-05-15 中国科学院微电子研究所 鳍结构及其制造方法
US9711409B2 (en) 2012-11-09 2017-07-18 Institute of Microelectronics, Chinese Academy of Sciences Fin arrangement and method for manufacturing the same
CN103943500A (zh) * 2013-01-22 2014-07-23 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的制作方法
CN103943500B (zh) * 2013-01-22 2016-08-31 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的制作方法
CN104078362A (zh) * 2013-03-29 2014-10-01 中国科学院微电子研究所 半导体器件制造方法
CN104078350A (zh) * 2013-03-29 2014-10-01 中国科学院微电子研究所 半导体器件制造方法
CN104078349B (zh) * 2013-03-29 2018-01-02 江苏鲁汶仪器有限公司 半导体器件制造方法
CN104078349A (zh) * 2013-03-29 2014-10-01 中国科学院微电子研究所 半导体器件制造方法
CN105097517A (zh) * 2014-04-25 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种FinFET器件及其制造方法、电子装置
CN105097517B (zh) * 2014-04-25 2018-07-20 中芯国际集成电路制造(上海)有限公司 一种FinFET器件及其制造方法、电子装置
CN107464749A (zh) * 2017-07-28 2017-12-12 北京北方华创微电子装备有限公司 蚀刻方法和蚀刻系统
WO2019019939A1 (zh) * 2017-07-28 2019-01-31 北京北方华创微电子装备有限公司 蚀刻方法和蚀刻系统
TWI703634B (zh) * 2017-07-28 2020-09-01 大陸商北京北方華創微電子裝備有限公司 蝕刻方法和蝕刻系統
CN107464749B (zh) * 2017-07-28 2021-09-17 北京北方华创微电子装备有限公司 蚀刻方法和蚀刻系统

Also Published As

Publication number Publication date
CN104008994B (zh) 2020-06-09
CN104008994A (zh) 2014-08-27
US9159808B2 (en) 2015-10-13
US20100190345A1 (en) 2010-07-29

Similar Documents

Publication Publication Date Title
CN101789395A (zh) 半导体装置的制造方法
US8557662B2 (en) Method for fabricating side contact in semiconductor device using double trench process
KR100878015B1 (ko) 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
US7560359B2 (en) Methods of forming asymmetric recesses and gate structures that fill such recesses and related methods of forming semiconductor devices that include such recesses and gate structures
US9087870B2 (en) Integrated circuits including FINFET devices with shallow trench isolation that includes a thermal oxide layer and methods for making the same
US7709346B2 (en) Semiconductor device with trench gate type transistor and method of manufacturing the same
US7132349B2 (en) Methods of forming integrated circuits structures including epitaxial silicon layers in active regions
US8470714B1 (en) Method of forming fin structures in integrated circuits
CN107919327B (zh) 半导体结构及其形成方法
US8354345B2 (en) Method for forming side contact in semiconductor device through self-aligned damascene process
US7220640B2 (en) Method of fabricating recess transistor in integrated circuit device and recess transistor in integrated circuit device fabricated by the same
CN102024743A (zh) 半导体结构与在鳍状装置之鳍状结构之间形成隔离的方法
US7648878B2 (en) Method for fabricating semiconductor device with recess gate
KR102287682B1 (ko) 웨이퍼의 이산화규소 제거 방법 및 집적회로 제조 공정
CN112466945B (zh) 半导体结构及其形成方法
CN106952816A (zh) 鳍式晶体管的形成方法
US20120153380A1 (en) Method for fabricating semiconductor device
KR20070002873A (ko) 반도체 소자의 제조방법
US7378703B2 (en) Semiconductor device having step gates and method for fabricating the same
US20020137306A1 (en) Method for forming polysilicon-filled trench isolations
CN105826364B (zh) 晶体管及其形成方法
CN107706111B (zh) 半导体器件的形成方法
US8093122B2 (en) Method for fabricating vertical channel transistor
KR20050106306A (ko) 둥근 활성코너를 갖는 핀 전계효과 트랜지스터의 제조방법
KR100532963B1 (ko) 반도체 소자의 소자분리막 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20100728