CN101767719A - 基板的处理系统、传输系统和传输方法以及横向移动室 - Google Patents
基板的处理系统、传输系统和传输方法以及横向移动室 Download PDFInfo
- Publication number
- CN101767719A CN101767719A CN200910150907A CN200910150907A CN101767719A CN 101767719 A CN101767719 A CN 101767719A CN 200910150907 A CN200910150907 A CN 200910150907A CN 200910150907 A CN200910150907 A CN 200910150907A CN 101767719 A CN101767719 A CN 101767719A
- Authority
- CN
- China
- Prior art keywords
- substrate
- chamber
- side travel
- travel chamber
- treatment
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 326
- 238000000034 method Methods 0.000 title claims abstract description 112
- 238000012545 processing Methods 0.000 title abstract description 23
- 230000008569 process Effects 0.000 claims abstract description 72
- 238000011282 treatment Methods 0.000 claims description 77
- 238000006243 chemical reaction Methods 0.000 claims description 41
- 230000005540 biological transmission Effects 0.000 claims description 27
- 238000012546 transfer Methods 0.000 claims description 23
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 22
- 229910052710 silicon Inorganic materials 0.000 claims description 22
- 239000010703 silicon Substances 0.000 claims description 22
- 230000007246 mechanism Effects 0.000 claims description 18
- 238000000151 deposition Methods 0.000 claims description 14
- 230000008021 deposition Effects 0.000 claims description 9
- 230000000740 bleeding effect Effects 0.000 claims description 8
- 238000001816 cooling Methods 0.000 claims description 8
- 238000005229 chemical vapour deposition Methods 0.000 claims description 6
- 230000009471 action Effects 0.000 claims description 4
- 238000005530 etching Methods 0.000 claims description 4
- 238000003475 lamination Methods 0.000 claims description 4
- 238000005240 physical vapour deposition Methods 0.000 claims description 4
- 238000000231 atomic layer deposition Methods 0.000 claims description 3
- 238000010438 heat treatment Methods 0.000 claims description 3
- 238000000137 annealing Methods 0.000 claims description 2
- 239000003054 catalyst Substances 0.000 claims description 2
- 230000001681 protective effect Effects 0.000 claims description 2
- 230000001737 promoting effect Effects 0.000 claims 2
- 239000007789 gas Substances 0.000 description 66
- 238000005516 engineering process Methods 0.000 description 22
- 238000003860 storage Methods 0.000 description 16
- 238000004891 communication Methods 0.000 description 13
- 238000010586 diagram Methods 0.000 description 12
- 230000032258 transport Effects 0.000 description 12
- 230000008901 benefit Effects 0.000 description 10
- 238000004519 manufacturing process Methods 0.000 description 9
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 8
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 8
- 230000003139 buffering effect Effects 0.000 description 8
- 239000004065 semiconductor Substances 0.000 description 8
- 239000011521 glass Substances 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- -1 He) Chemical compound 0.000 description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 6
- 238000013461 design Methods 0.000 description 6
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 229910052743 krypton Inorganic materials 0.000 description 4
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 4
- 230000015654 memory Effects 0.000 description 4
- 229910052754 neon Inorganic materials 0.000 description 4
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 4
- 239000001272 nitrous oxide Substances 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 4
- 229910052724 xenon Inorganic materials 0.000 description 4
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 4
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 239000002775 capsule Substances 0.000 description 3
- 238000010276 construction Methods 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 229910000077 silane Inorganic materials 0.000 description 3
- 239000006096 absorbing agent Substances 0.000 description 2
- 229910000070 arsenic hydride Inorganic materials 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 230000006835 compression Effects 0.000 description 2
- 238000007906 compression Methods 0.000 description 2
- 125000003963 dichloro group Chemical group Cl* 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 238000005755 formation reaction Methods 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000003032 molecular docking Methods 0.000 description 2
- 230000035939 shock Effects 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 241000206607 Porphyra umbilicalis Species 0.000 description 1
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 230000001427 coherent effect Effects 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 238000012864 cross contamination Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000011143 downstream manufacturing Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000003344 environmental pollutant Substances 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000003698 laser cutting Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 230000005055 memory storage Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000012856 packing Methods 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 230000005622 photoelectricity Effects 0.000 description 1
- 231100000719 pollutant Toxicity 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 230000002269 spontaneous effect Effects 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000010186 staining Methods 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/56—Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
- C23C14/568—Transferring the substrates through a series of coating stations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/67727—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/67736—Loading to or unloading from a conveyor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67754—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
Landscapes
- Engineering & Computer Science (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Robotics (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
本发明提供了一种基板的处理系统、传输系统和传输方法以及横向移动室,其包含二或多个工艺模块、一基板搬运装置、一装卸室、以及一横向基板处理装置。横向基板处理装置包含横向移动室,用以将基板运送至工艺模块。在运送基板时,各横向移动室维持具有一特定气体条件。横向基板处理装置另包含一轨道与一驱动系统,轨道是用来支撑横向移动室并设于工艺模块入口的邻近处,驱动系统用来使横向移动室在轨道上移动。
Description
技术领域
本发明所描述的实施例大体上有关于一种用来处理基板的系统(system)以及方法,其中该基板举例但不限于玻璃与其它使用于太阳能或光伏(photovoltaics,PV)工业的基板,以及使用于半导体工业的晶片。且本发明描述的实施例特别是有关于一种包含一个或多个横向移动室(mobile transverse chamber)在多个工艺模块(process module)之间传输基板的系统与方法。
背景技术
半导体元件、平面显示面板以及光伏或太阳能电池的制作需要对各种基板执行多重的工艺,例如蚀刻、化学气相沉积(chemical vapordeposition,CVD)、溅镀(sputtering)以及清洁等工艺,以制作预定的装置或产品。这些工艺可能由一单一且个别的工艺机台(process tool)或模块(module)来分别执行一单一的工艺步骤。由于必须进行多重的工艺步骤,基板便必须由一工艺机台被传送至下一工艺机台,因此容易导致基板的破损或污染。再者,在不同工艺机台之间传送基板会增加整体工艺时间与制造成本。
业界已使用了多种工艺建构设计。传统一贯式作业生产线制造系统(inline processing tool)的流程是使多个工艺机台以线型方式设置,并依序将基板由一工艺机台传送至下一工艺机台,为业界所知,其具有流程效率不佳的问题,特别是当各工艺机台需要不同的工艺时间时。举例而言,当经由较快速的工艺机台处理完成的基板必须各别等待工艺时间较漫长的下游工艺机台以进行下一工艺时,便会产生流程瓶颈。
因此,业界研发出了系统建构设计,以提供能进行多重工艺的多重工艺机台。常见的多重工艺机台例如丛聚式(cluster)系统。丛聚式系统使用了以环状方式排列的多个工艺反应室单元(process chamber unit),这些工艺反应室单元基本上会连接于一单一且巨大而不能移动的真空传送室(vacuum transfer chamber),其具有一真空传送搬运装置(vacuumtransfer robot),以将基板经由多个装卸室(load lock chamber)而在上述工艺反应室(process chamber)之间传送。由于基板是在单一的工艺设备内被传送而进行不同的工艺,其被污染的可能性便因而降低。此外,基板可以更快速地在工艺反应室单元之间被传送,能缩短整体工艺时间。
然而,传统的丛聚式系统仍然有几点明显的限制条件。第一,丛聚式系统所包含的工艺设备在实际上有其数量限制。为了将工艺设备加至丛聚式系统的群组设备中,必须增加传送室的尺寸以提供足够的空间来将基板由传送室传输到工艺反应室单元,因此需要具有较长伸展距离的传送搬运装置。再者,若需要在原群组设备中再加入一新的机台,而当目前的丛聚式设备没有足够的空间来容纳这个新机台时,则便必须设计一全新的丛聚式设备。因此,这样的系统设计不利于更新与扩充。
第二点,上述大型固定的真空传送室具有复杂的机械设计,且不易供大型基板的传送使用。举例而言,用来制作光伏或平面面板的大尺寸玻璃或硅基板便需要较大的旋转半径,以转动对应的大型真空传送室,同时需要大型真空泵与昂贵的搬运装置元件才能快速地进行上述的基板传送程序。
再者,制作这类光伏与半导体产品所需要的工艺步骤可能有各种不同的工艺时间周期,会在工艺生产线上导致严重的瓶颈。例如在制作光伏电池时,需要以沉积工艺制作各种厚度的多层薄膜。通常本征层(intrinsiclayer,I-layer)、N型掺杂层(n-doped layer,N-layer,或称负型层)以及P型掺杂层(p-doped layer,P-layer,或称正型层)的沉积工艺需要明显不同的沉积时间,以达到预定的薄膜层厚度。若在沉积一工艺时间较短的膜层之后,接着要再进行一需要较长工艺时间的膜层沉积时,第二膜层的制作便会产生瓶颈,进而限制影响了生产效率,此问题在连续式或一贯式作业的制造程序中会更加明显,而在多重接面型(multiple junction)光伏电池的制作中,上述问题又更加严重。
所以,已知系统与流程仍需要被进一步改善。
发明内容
一般而言,本发明所描述的实施例是有关用来处理基板的系统与方法,上述的基板举例但不限于玻璃以及其它使用于太阳能工业(solarindustry)或光伏工业(photovoltaic industry)的基板,以及使用于半导体工业的晶片。更确切地,本发明所描述的实施例是有关于包含一或多个横向移动室的一种基板处理系统与方法,以用来在不同工艺模块之间传输基板。
在某些实施例中,本发明描述了基板处理的系统,其包含一或多个横向移动室,可在二或多个工艺模块之间移动,以在这些二或多个的工艺模块之中,将一或多个基板运送给至少其中一工艺模块。各横向移动室的设计包括当其在工艺模块之间移动或运送一或多个基板至这些工艺模块时,会个别维持具有一特定的气体条件。
在另一实施例中,本发明提供一种处理基板的系统,其包含:二或多个工艺模块,且各工艺模块包含一用来处理基板的工艺反应室;一基板搬运装置(substrate handling robot);一装卸室,用来接收由基板搬运装置传来的基板;以及一横向基板处理装置(transverse substratehandler),用来接受由装卸室传来的基板以及将基板传送给该二或多个工艺模块的至少其中之一。横向基板处理装置基本上包含一或多个横向移动室,可在该二或多个工艺模块之间移动,以及可将一或多个基板运送至该二或多个工艺模块的至少其中一种。其特别的优点在于当各横向移动室在所述工艺模块之间移动或运送一或多个基板时,会个别维持具有一特定的气体条件。
该系统可设计为具有单一线型(ingle line)或一贯式作业(in-line)系统,亦即这些横向基板处理装置以及工艺模块是以直线方式排列设置,而横向移动室则是沿着一轨道而直线移动。此外,本发明可提供两平行或双一贯式作业(dual in-line)系统,每一个直线配置可选择性地各具有不同的长度。再者,横向移动室可供位于其相反两侧的工艺模块使用。不同于已知的一贯式系统,如下文中更详细的叙述,本发明提供了更多的弹性与灵活性,能减少瓶颈问题以及增加产量。根据本发明,其它种形式的排列或设置方式也是有可能的。举例而言(但不限于此),本发明系统也可被设置成一丛聚式系统,其中多个工艺模块与横向基板处理装置排设成环形、U形或其它形状。更进一步地,本发明系统可采用多个叠层(stacked)的工艺模块并搭配多个叠层的横向基板处理装置。因此,根据以下所描述与描述的几个特定实施例,本领域技术人员应可了解,依据本发明的精神与范围,本发明仍可有各种其它的系统配置与排列方式。
根据以下一些实施例所描述的,本发明基板处理系统包含二个或更多的工艺模块、一基板搬运装置、一装卸室以及一横向基板处理装置,以接收由装卸室传来的多个基板,以及将所述基板传送至上述二或多个工艺模块的至少其中之一。各工艺模块包含一工艺反应室可用来处理所述基板,装卸室则是用来接收由基板搬运装置传送的基板。横向基板处理装置包含一或多个横向移动室,以将一或多个基板运送至该二或多个工艺模块的至少其中之一。各横向移动室在运送该一或多个基板时,会个别维持在一特定的气体条件下。横向基板处理装置还包含一或多个轨道以使该一或多个横向移动室能横向移动,其中轨道是设于所述工艺模块的入口的邻近处。本发明横向基板处理装置另可包含一或多个驱动系统以驱使该一或多个横向移动室在轨道上移动。
本发明另提供了传送多个基板至一或多个工艺模块的方法,其包含了使一或多个运送于该轨道上的横向移动室,其是设置在该一或多个工艺模块的邻近处,其中当横向移动室在移动或者在运送基板时,各横向移动室会维持具有一特定的气体条件。该方法亦包含将所述基板装载于至少一或多个横向移动室的至少其中一种,并启动(actuating)一或多个驱动系统以推动该一或多个横向移动室的至少其中之一种沿着轨道移动。此外,该方法另包含将所述基板的至少其中之一由维持于该特定的气体条件下的该横向移动室运送至该二或多个工艺模块的至少一种。
另一方面,本发明又另提供了一种在二或多个工艺模块或装卸站(load lock station)之间传送基板的方法,其包含:将至少一基板装载于一或多个该横向移动室内,其中所述横向移动室由设于该二或多个工艺模块邻近处的一轨道所载送,且在运送基板时,各横向移动室维持在一特定的气体条件下;启动一或多个驱动系统以推动该一或多个横向移动室沿着该轨道;使该横向移动室接合至所述工艺模块的至少其中之一种的一侧;以及从该横向移动室将至少一基板运送给至少一该工艺模块。
又另一方面,本发明的实施例提供了在弹性传输基板时,能将热散失减少到最小。举例而言,在作为说明的一实施例中,本发明提供了在多个工艺模块或装卸站之间传送一或多个基板的方法,其包含以下步骤:对于位在一起始处理位置(initial processing location)P1的基板S1确认一目标位置(destination location)D1,若一基板S2在使用目标位置D1,则使基板S1维持在起始处理位置P1。若目标位置D1是可使用的,则将基板S1传送至目标位置D1。此外,若目标位置D1正被基板S2所使用,则本发明方法另包含为基板S2确认一目标位置D2。在某些实施例中,本发明方法还包含一步骤:根据基板S1或S2何者需要较长的处理时间,而决定先将基板S1或S2的一传送至其相对应的目标位置D1或D2。
又另一方面,本发明提供了一工艺模块设施,其包含:至少一工艺反应室设于一框架(frame)内、一底座(subfloor)与该工艺反应室相邻设置、至少一固定式泵与电箱(electrical box)设于该底座之上、以及气体控制线路(gas control line)与真空排气线路(vacuum exhaust line)设于该底座内并连接于该工艺反应室。
附图说明
本发明的其它目的、功效,请参阅附图及实施例,详细说明如下,其中:
图1A绘示本发明的一实施例的一种系统的透视示意图。
图1B绘示本发明的一实施例的一种系统的上视示意图。
图1C绘示本发明的一实施例的一种系统的前视示意图。
图2绘示本发明的另一实施例的一种系统的上视示意图。
图3绘示本发明的又一实施例的一种系统的上视示意图。
图4绘示本发明的更一实施例的一种系统的线状环形配置上视示意简图。
图5绘示本发明的再一实施例的一种系统的前视示意图。
图6A以及图6B分别绘示本发明的还一实施例的一种系统的顶视图以及等角视图。
图7绘示本发明的一实施例的一种系统中横向移动室在装卸站处的接合样态的顶面视图。
图8绘示本发明的一实施例的一种系统中承载于轨道上的横向移动室的局部等角视图。
图9绘示本发明的一些实施例的一种系统中横向移动室的局部透视剖视图。
图10以及图11分别绘示本发明的一些实施例的一种系统中横向移动室在回缩位置以及伸展位置的局部透视剖视图。
图12绘示本发明的一实施例的一种系统中横向移动室的传送搬运装置机组的透视图。
图13绘示本发明的一实施例的一种系统中具有接合机组的横向移动室的侧视图。
图14绘示本发明的一实施例的一种系统中承载于轨道上的横向移动室的局部剖视图。
图15为根据一些实施例所绘示的一种传送基板至二个或多个工艺模块的方法流程图。
图16a以及图16b分别绘示本发明的一实施例的打标志的方法步骤流程图以及依序传送的方块图。
图17绘示本发明的一实施例的具有整合关联系统构件的工艺模块的透视图。
附图中主要元件符号说明
100系统;110横向基板处理装置;112横向移动室;114、178轨道;116驱动系统;120装卸室;122、124狭长入口;130预热器;140冷却架;150、152、152-1、152-2、152-3、152-4、152-5工艺模块;160线性马达机组;170传送搬运装置机组;172基板承载台;172a顶基板承载台;172b底基板承载台;174摆臂机构;176分叉部件;179制动装置或缓冲装置;180摆臂;183沟槽通道;182滑座;190接合机组;192真空凸缘装置;194薄膜或伸缩囊;196移动式抽气泵;198缓冲中介抽气口;199缓冲中介泄气阀;200固定式泵;210水平对位机构;212平衡轨道;214安全导引滚轮;300工艺模块设备;302工艺反应室;306底座;310电子控制装置;1010、1020、1030、1040、1210、1220、1230、1240、1250、1260步骤;1200排程器;S1、S2基板;D1、D2目标腔室;P1工艺反应室;1300计算机系统;1302处理单元;1304通信接口;1305输入装置;1306显示装置;1308通信总线;1310内存;1311操作系统;1312网络通信模块;1320传输操作模块;1330工艺反应室操作模块;1340排程器模块。
具体实施方式
一般而言,于此描述的实施例与处理机板的系统与方法有关,例如用于太阳能工业或光伏工业的玻璃和其它基板以及用于半导体工业的晶片,但本发明不限于此。较特别的是,于此所描述的一些实施例与处理基板有关的系统和方法包括以一个或多个横向移动室在工艺模块以及其它站台(如装卸站)之间传输基板。
在一些实施例中,提供一种处理基板的系统,其包括:一或多个横向移动室在二或多个工艺模块之间进行移动,且运送一或多个基板至二或多个工艺模块中的至少其一。当移动于工艺模块间以及一或多个基板运送至工艺模块时,每一横向移动室各自独立地维持一特定的气体条件。
本发明另提供一种传送基板至二或多个工艺模块的方法,其包括运送一或多个横向移动室,其中横向移动室承载于轨道上并邻近于二或多个工艺模块,且每一横向移动室在移动或运送基板其间可各自独立地维持一特定的气体条件。此方法亦包括装载基板至一或多个横向移动室内的至少其一,并启动一或多个驱动系统以沿着轨道推动一或多个横向移动室的至少其一。此外,此方法还包括在维持特定气体条件期间,将基板从一或多个横向移动室的至少其一运送至二或多个工艺模块中的至少其一之一。
请参照图1A、图1B以及图1C所绘示的本发明的一实施例的一种系统示意图。系统100大体包括横向基板处理装置110、装卸室120以及二个或多个工艺模块150、152,其中每一工艺模块150、152包括一用以处理基板的工艺反应室。
利用本发明的系统100以及方法,可处理任何数目的基板以及晶片。举例来说,如硅、玻璃或金属板等光电基板可被制作成太阳能电池。就本发明的优点而言,本发明的系统能适应性地使用多样的处理方法来形成多种装置与应用。
在一实施例中,多个等离子体增益化学气相沉积(plasma-enhancedchemical vapor deposition,PECVD)模块的设置可分别沉积出用来产生光伏装置(photovoltaic device)的掺杂层以及未掺杂层的各层,例如P型掺杂(如掺杂硼的硅层)、I型(如本征硅层)以及N型掺杂(如掺杂磷的硅层)。在其它实施例中,每一工艺模块也可仅沉积单一型态的层,例如P型掺杂、I型或N型掺杂中的其中一种。
在一示范例中,是利用本发明的系统100来制作单接面(singlejunction)的光伏电池(photovoltaic cell)或太阳能电池(solar cell)。较特别的是,可利用具有透明导电氧化物(transparent conductive oxide,TCO)薄膜的玻璃基板来进行沉积,如利用具有氧化锌(ZnO)的玻璃基板,但本发明不限于此。在利用激光切割工艺对透明导电氧化物层进行切割之后,本发明的系统中便可沉积P型掺杂硅、本征硅以及N型掺杂硅的后续层。最终膜会进一步分割成多个电池单元,而后沉积形成一TCO背面电极层(back contact layer)。
本发明整体上的弹性架构的优点可让使用者以选择性地设定系统布局。为了形成太阳能电池或光伏电池,本发明的系统100利用较多的工艺模块来沉积I型硅层或N型硅层以及利用相对较少的工艺模块来沉积P型硅层的方式来达成。如图1A所示,多个本征硅层/N型硅层模块152-1、152-2、…152-5连续地设置于系统100。其因为沉积N型掺杂层以及本征层比沉积P型掺杂层需要更长的工艺时间,因此,系统100提供了较多数量的工艺模块以用来沉积本征硅层与与N型硅层,而配置了较少数量的工艺模块以用来沉积P型硅层,以有效增快整体基板处理程序。
在另一示范例中,可利用本发明的系统100来重复进行P-I-N层的沉积以制作叠层式太阳能电池(tandem solar cell)或多重接面(multiplejunction)太阳能电池。在又一实施例中,多重接面太阳能电池的制作是通过本发明的多重生产线配置系统(multi-line system configuration)所进行的沉积工艺来实现。
系统建构实施例
参考图1A、图1B以及图1C所分别绘示的透视图、顶视图以及侧视图。系统100大体包括横向基板处理装置110、装卸室120以及二个或多个工艺模块(process module)150、152,其中每一工艺模块150、152包括一用以处理基板的工艺反应室。
一般可从光电厂、半导体厂或晶片代工厂中的主要生产线或运送装置来获得基板或晶片。本领域熟知此项技术者应理解,基板搬运装置(未绘示)通常被设定来从主要生产线拾取基板,并将基板运送至特定的工作站以进行特定的处理程序。在一示范例中,可设定基板搬运装置运输基板至装卸室120,其中本领域熟习此项技术者皆知一般的基板搬运装置包括终端受动器(end effector,未绘示)。在一些实施例中,搬运装置可同时运输多个基板。举例来说,从装卸室120拾取一处理过的基板之后,双臂型搬运装置系统(dual-blade type robot system)可被用来从主生产线传输一基板。基板搬运装置可采取水平移动的方式将基板运送至装卸室120,再将基板从装卸室120运回至主生产线。另一方面,基板搬运装置也可选择性地另以垂直移动的方式在叠层的模块或位于不同高度的多个模块之间进行传输。举例来说,并请参照图1A以及图1C,在靠近装卸室120处,系统100可选择性地包括预热器130(pre-heater)以及冷却架140(cooldown rack)。依据所需的工艺顺序,基板搬运装置可垂直地移动并使基板在生产线以及预热器130及/或冷却架140及/或装卸室120之间进行传输。在其它实施例中,一旋转搬运装置(rotating robot)位于靠近运送装置处,并位于预热器130与冷却架140以及装卸室120之间,其中预热器130以及冷却架140位在搬运装置的一侧,而装卸室120位在搬运装置的另一侧。如此,搬运装置可同时供装卸室120以及预热器/冷却架使用。
装卸室120可包括两个狭长入口122、124。第一狭长入口122可自基板搬运装置接收一基板,且允许处理过的基板自系统100离开并回至主生产线。第二狭长入口124可用以运送一基板至横向基板处理装置110,并将基板从横向基板处理装置110运送回来。通常地,装卸室120可为基板或晶片产生一隔离环境并维持一所要的气体条件。在一些实施例中,这个所要的气体条件为一低压或一真空环境。
横向基板处理装置110通常可自装卸室120接收多个基板并传送基板至二个或多个工艺模块150、152中的至少其之一。横向基板处理装置110通常包含一或多个横向移动室112、轨道114以及一个或多个驱动系统116。
每一横向移动室112可运送一或多个基板至二个或多个工艺模块150、152中的至少其中之一。横向移动室112由轨道114所承载,且可通过一或多个驱动系统116沿着轨道114推动横向移动室112。在一些实施例中,横向移动室112被用来运送单一基板。在其它实施例中,横向移动室112被用来运送两个基板,其中第一基板进行运送以在工艺模块150、152之一进行处理,而第二基板则在工艺模块150、152的另一进行处理。在又一实施例中,横向移动室112成对地运送基板。
就优点而言,横向基板处理装110包括二或多个横向移动室,其中当横向移动室在工艺模块之间移动时,每一横向移动室112可各自独立地维持一气体条件。换句话说,在运送基板期间,使用者可指定每一横向移动室所维持的气体条件,其中每一横向移动室内的气体条件可不同。如此,可使基板具有工艺弹性。举例来说,为了容纳超过一个横向移动室,在维持一气体条件下,一转移站(handoff station,未绘示)可从一横向移动室接收基板并运送至另一横向移动室。
在一些实施例中,横向基板处理装置110可包括两个横向移动室112。在运送基板期间,每一横向移动室可各自独立地维持一特定气体条件。在一些实施例中,气体条件为横向移动室内的气体压力。在其它实施例中,气体条件为横向移动室内的气体环境的型态,举例来说,其可包括空气或氦气(Helium,He)、氖气(Neon,Ne)、氩气(Argon,Ar)、氪气(Krypton,Kr)、氙气(Xenon,Xe)等钝气。因为横向移动室包含一隔离环境,所以横向移动室可以维持一所要的化学环境,举例而言,可选择反应气体作为气体条件,如硅甲烷(silane,SiH4)气体、氧气(oxygen,O2)、二氯硅甲烷(dichlorosilane,SiCl2H2)气体、氧化亚氮(nitrous oxide,N2O)气体、四乙氧基硅烷(tetraethylorthosilicate,TEOS,Si(OC2H5)4)气体、磷化氢(phosphine,PH3)气体、砷化氢(arsine,AsH3)气体、乙硼烷(diborane,B2H6)气体等及其混合物。而气体的压力范围可包括真空至大气压。
就本发明的另一个观点来看,横向移动室112不但可维持所要的气体条件,还可另外维持一所要的热环境。在本实施例中,可对横向移动室进行加热。在本实施例中,横向移动室还包括一热源。举例来说,在腔室中维持一高含氧环境下,可利用加热横向移动室的内部来促成氧化作用或使自生氧化层自然生成,但本发明不以此为限。
在一说明例中,横向移动室内的气体可维持在500~1000毫托(mTorr)的范围中,更常的情况下是在50~100mTorr的范围中。在一些实施例中,横向移动室维持一气体条件可使横向移动室以及工艺模块的气压差(ΔP)落在10~500mTorr的范围中。
轨道114承载一或多个横向移动室112,其中轨道114位在靠近工艺模块150、152的入口处。在一些实施例中,轨道114承载横向移动室112的重量。在另一些实施例中,轨道114维持横向移动室112的运动方向。举例来说,轨道可以是一承载轨道,其中承载轨道可通过一个或多个机械轴承(mechanical bearing)而与横向移动室接触,以承载横向移动室112的重量。在又一实施例中,轨道114可以是一驱动轨道,其中驱动轨道可被用来推动横向移动室112。在另一实施例中,轨道114进一步包括一导轨(guide),其中导轨可引导横向移动室112的运动方向以防止横向模块转动或倾斜。轨道也可承载水平对位机构(leveling mechanism)。单一轨道114可提供上述多种功能。在一些实施例中,系统100可包括二个或多个轨道114。在另一些实施例中,系统100在每一轨道114上可包括一个横向移动室112。在又一些实施例中,系统100在每个轨道上包括二个或多个横向移动室112。单一轨道可包含两个平行的承载件以分担传送模块的载重并防止模块沿着轨道轴转动。
横向移动室112可通过各自的驱动系统116来推动。在一些实施例中,驱动系统116需要额外的构件(如上述提及的驱动轨道)以移动横向移动室112。举例来说,驱动系统116可包括一线性马达(linear motor)、一齿条(rack)和齿轮(pinion)系统,或者是一滑轮(pulley)和传送带系统(belt system)。在另一些实施例中,每一横向移动室112具有各自的驱动系统116。在又一些实施例中,驱动系统116可属于轨道114的一部分。驱动系统116可选择性的与轨道彼此独立。一缆线轨道系统(cable tracksystem)可被用来供气以及提供电源至横向基板处理装置。
工艺模块150、152可由任何适用于光伏装置(PV device)以及半导体工艺的工艺模块所组成。举例来说,适合的工艺模块包括化学气相沉积室(chemical vapor deposition chamber,CVD chamber)、等离子体增益化学气相沉积室(plasma enhanced chemical vapor depositionchamber,PECVD chamber)、原子层沉积室(atomic laver depositionchamber,ALD chamber)、蚀刻室(etching chamber)、物理气相沉积室(physical vapor deposition chamber,PVD chamber)、退火炉(annealingfurnace)、快速热退火炉(rapid thermal annealing furnace,RTPfurnace)、常压化学气相沉积室(atmospheric pressure CVDchamber,APCVD chamber)、蒸气涂布室(evaporative coating chamber)等,但本发明不限于此。
本发明还有许多其它实施的可能性,以图2~图4所说明的选择实施例为例。较特别的是,如图2中所绘示的两个平行系统,横向移动室112可供工艺模块150、152所使用,而工艺模块150、152设置于横向移动室112相反两侧的邻近处。在本实施例中,一横向移动室112由轨道114所承载并位在多个工艺模块之间,其中横向移动室112包括两个位在横向移动室112的对侧的开口或长缝154、155。
请参照图3,其绘示一U形丛聚式系统。在本实施例中,工艺模块以及横向基板处理装置被配置在一具有多段轨道114a、114b及114c的U形排列中。系统也可选择性地配置在一环形排列中,如图4所绘示的工艺模块以及轨道即配置在环形排列中。
为了提高生产力率或减少工艺时间,在本发明的系统的一些实施例中,可利用叠层式工艺模块并通过具有组合叠层的横向基板处理装置来达成,如图5所示。
如上述的示范例中,基板的运输与处理是以水平配置的方式来进行。在一选择实施例中,可垂直地运输基板,其中这些基板通常(但非必要)为成对的,如图6A以及图6B所示。在本例中,工艺模块在工艺期间内的配置可垂直地承载基板,且横向移动室的配置可用来传输一个或多个垂直配置的基板。
如此,当一特定的实施方法于此描述时,本领域熟知此项技术者应理解各种其它系统布局及配置在本发明的范围及教导的可能性。然,本发明的系统的弹性使多种系统结构以及布局成为可能。
横向基板处理装置以及横向移动室
本发明的系统在处理基板时具有极大的弹性,特别是笨重且难处理的大片基板。此外,本发明的弹性使复杂的工艺方法得以在一整合的系统中完成。举例来说,本发明可使基板进行平行处理,这对于同时需要长时间处理及短时间处理的基板来说特别有优势,但本发明不以此为限。而优点还有,在维持一所需气体环境下,本发明的横向移动室可在二或多个工艺模块之间移动,并运送一或多个基板至二或多个工艺模块中的至少其中之一。在移动于工艺模块之间以及运送一个或一个以上的基板至工艺模块期间,每一横向移动室可独立地维持一特定气体条件。请参照图7以及图8所分别绘示的俯视图(top plan view)以及立体图(isometric views),其表示横向移动室112的一实施例。在图7中,是绘示横向移动室在112装卸室或装卸站120处的接合(docking)状态。横向移动室112由轨道114所承载,且本实施例的驱动系统116由一线性马达机组160所组成,其中线性马达机组160以沿着轨道114的线型方式来推动横向移动室112。
为了将基板传送至横向移动室112并将基板传送回来,且将基板传送至一特定的工艺模块或其它站台并将基板传送回来,横向移动室112还包括一传送搬运装置机组(transfer robot assembly)170。
一般来说,传送搬运装置机组170可确保横向移动室112中的基板在传输期间位于如图10所绘示的一回缩位置(retracted position),且在工艺期间可将基板移动至如图11所绘示的一伸展位置(extendedposition)中的工艺模块和其它站台并将基板自工艺模块和其它站台移回。
在一些实施例中,传送搬运装置机组170包括一基板承载台(substrate holder)172以及一线性致动器(linear actuator)。基板承载台172可承载二或多个基板。举例来说,基板承载台可具有多个槽(slot)以承载二或多个基板。在其它实施例中,基板承载台可承载二或多个匣子(cartridge),其中每一匣子可用来承载一或多个基板。线性致动器可移动基板承载台以使基板或匣子被装载至基板承载台上的一空槽(emptyslot),或使一基板或一匣子可从基板承载台上的一已装载的槽(loadedslot)进行卸载。线型致动器可由任何适合的致动机构所构成,例如轨道以及线性马达、齿条以及齿轮系统,或者滑轮以及传送带系统,但本发明并不以此为限。
在一说明例中,如图9~图11所示,传送搬运装置机组170由基板承载台172所组成,其中基板承载台172由一摆臂机构(swing armmechanism)174所启动。基板承载台172可由任意适合的支撑件所形成。在一例中,基板承载台172由一完整的平板所组成。在另一例中,如图所示,基板承载台172由多个分叉部件(prongs)176所组成,其中分叉部件176支撑基板。在本实施例中,基板承载台可进一步在分叉部件176的外缘包括轨道178。轨道178可在其边缘支撑基板,并可包括一集中机构(centering mechanism),如止挡装置(stop)或缓冲装置(bumper)179,以在传输过程中用来集中并保护基板。
基板承载台172可承载一个或多个基板,在一实施例中,横向移动室112可置放两个基板,如图9所绘示的剖视图。本例提供顶基板承载台172a以及底基板承载台172b,较佳地,每一基板承载台为独立设置以增加系统的弹性以及提高生产率。
如图10以及图11所示,在回缩位置以及伸展位置之间移动的摆臂机构174可用来启动基板承载台172。如图12所示,摆臂机构174通常由摆臂180以及滑座(slide)182所构成。摆臂180的一端在滑座182的通道183中移动,而摆臂180的另一端(对向端)通过摆臂驱动轴(swing armdrive shaft)188所驱动的滑动轴承186以及连杆而枢转于固定柱184。
在一些实施例中,横向移动室112包括二或多个狭长入口。请再参照图2,第一狭长入口154位在横向移动室的一端,而第二狭长入口155位在横向移动室的另一端(对向端)。在另一些实施例中,狭长入口被用来将基板从横向移动室112的一端运送至横向移动室112的另一端。举例来说,工艺模块150、152可分别安置在两条不同的直线上,而轨道则可位在这两条直线之间。横向移动室112可通过第一狭长入口而从位在轨道一侧的直线上的工艺模块来装卸基板,并可通过第二狭长入口而从位在轨道另一侧的直线上的工艺模块来装卸基板。
就优点而言,在此系统中运输基板下及选择性地与工艺反应室及/或与其它站台连接下,横向移动室112可用来维持一特定气体条件。在一些实施例中,横向移动室112包括接合机组(docking assembly)190,如图8以及图13所示。在一些实施例中,接合机组190设在横向移动室112上。在其它实施例中,接合机组190可设在工艺模块与装卸室上。
在将基板由横向移动室112传送至工艺模块150、152或其它处理站时,接合机组190大体上可协助维持横向移动室112内环境的完整性。接合机组190更可通过建立一正压或同于工艺模块或工作站方向的气流来降低横向移动室的交互污染(cross contamination)。如此,在工艺反应室或其它站台进行接合时,气体或大气不会流进横向移动室。在一描述的实施例中,维持在横向移动室内的气体的气压范围大约介于在500mTorr至1000mTorr之间,更常的情况下是介于50mTorr至100mTorr的范围中。在一些实施例中,横向移动室维持一气体条件可使横向移动室以及工艺模块的气压差(ΔP)落在10~500mTorr的范围中。
请参照图13以及14,接合机组190由在连接至一工艺模块或其它站台下用来维持气体条件的可延展膨胀的薄膜(expandable membrane)或伸缩囊(bellows)194以及一真空凸缘装置(vacuum flange)192所组成。通常地,薄膜194为可变形的,而真空凸缘装置192可包括一凸缘、一O形环(O-ring)以及一边缘密封垫(lip seal),且其通过气压缸夹钳(pneumatically actuated cylinder clamp)195而配置于工艺反应室或装卸室的一平坦密封表面上。
一附着在缓冲中介抽气口(buffer media pumping port)198的固定侧的真空装置(vacuum source)连接至可扩张或可形变的薄膜194。本实施例亦提供一缓冲中介泄气阀(buffer media vent valve)199。在一例中,一固定式泵(stationary pump)200设置于装卸室附近,而在每一工艺反应室中线连接至缓冲中介抽气口198。当横向移动室在一工艺模块进行接合时,在可延展膨胀的伸缩囊194以及工艺模块之间可形成一气囊(air pocket)或气室(air gap)。固定式泵200连接至缓冲中介抽气口198,且其在打开工艺反应室以及从横向移动室传送基板的前先进行抽气以使该气室成为真空状态。此举产生同于工艺反应室方向的正气流,并使横向移动室112与任何反应气体或其它于工艺反应室中的污染物隔离。
当横向移动室112与各自的工艺模块150、152或装卸室120连接时,至少一工艺模块可选择性地通过包括一固定式泵200的设置来排除横向移动室112以及工艺模块150、152或装卸室120之间气室中的空气。
在一实施例中,提供一种移动式抽气泵(mobile evacuation pump)196以及一种固定式泵200,其中移动式抽气泵196由横向移动室112所支撑,而固定式泵200由工艺模块或装卸室所支撑。在本实施例中,在进行接合时,移动式抽气泵196可被用来排空形成于横向移动室以及工艺反应室之间的气室。一旦气室被排空,工艺反应室便会打开,而后固定式泵200便会排空工艺反应室以及横向移动室两者。由于固定式泵200可为大容量以足够排空一相对大的空腔而抽气泵196可具有较小的容量以用来仅排空气室,如此易支撑于一移动式平台,因而提供极大的弹性和优点。在传送基板期间,固定式泵200可选择性地被用来排空气室且进一步排空横向移动室以及工艺反应室或装卸室。
在另一实施例中,一大泵可被用来与一连串的真空管路(a series ofvacuum line)连接,其中这些真空管路连接至每一缓冲中介抽气口198,且被位于缓冲中介抽气口198的气动阀(air operated valve或pneumaticvalve)所隔离。在本实施例中,真空管路可以是能够加速排除气室的一真空贮槽(vacuum reservoir)。
为了有助于接合的进行,可利用多个水平对位机构及/或导轨机构。举例来说,如图13至图14所示,框架以及/或横向移动室112可包含水平对位机构210。本系统可利用任意适合的水平对位机构,例如调整杆(adjustment rod)、压缩系杆(compression tie rod)、对位栓球(levelinghitch ball)等,但本发明不限于此。一平衡轨道212也可包含在框架中以增加稳定性。安全导引滚轮214可进一步被包含于轨道114及/或设置于横向移动室112的底部。缆线载送轨道置放电线以及空气管线,并可由一弹性传送带或如连杆的轨道所组成。
基板处理以及接合的方法
就优点而言,本发明所提供的基板处理具有弹性。图15为根据一些实施例所绘示的一种传送基板至二个或多个工艺模块的方法。在步骤1010中,提供一或多个横向移动室。横向移动室承载于一轨道上,并沿着轨道移动。轨道的位置邻近于二或多个工艺模块,如此横向移动室得以与一对应的工艺模块连接或接合。
在运送基板期间,每一横向移动室的设置可独立地维持一特定的气体条件。在一些实施例中,气体条件为横向移动室内的气压。在另一实施例中,气体条件为横向移动室内的气体环境的型态,举例来说,其可包括空气或氦气(Helium,He)、氖气(Neon,Ne)、氩气(Argon,Ar)、氪气(Krypton,Kr)、氙气(Xenon,Xe)等钝气。在另一实施例中,气体条件还可以由易反应的气体所组成,如硅烷(silane,SiH4)气体、氧气(oxygen,O2)、二氯硅甲烷(dichlorosilane,SiCl2H2)气体、氧化亚氮(nitrous oxide,N2O)气体、四乙氧基硅烷(tetraethylorthosilicate,TEOS,Si(OC2H5)4)气体、磷化氢(phosphine,PH3)气体、砷化氢(arsine,AsH3)气体、乙硼烷(diborane,B2H6)气体等及其混合物。
横向移动室内气压的范围可以介在真空及大气压力之间。在一说明例中,横向移动室内的气体可维持在500~1000mTorr的范围中,更常的情况下是在50~100mTorr的范围中。在一些实施例中,横向移动室维持一气体条件以使横向移动室以及工艺模块的气压差(ΔP)落在10~500mTorr的范围中。而当提供两个横向移动室时,其可独力地维持受控环境,其中第一横向移动室可在一气体条件(例如真空)下运送基板,而第二横向移动室可在第二气体条件(例如氩气)下运送基板。
在步骤1020中,基板被装载在一个或一个以上的横向移动室的至少其中之一中。在一些实施例中,将基板装载至至少一横向移动室可通过操作传输搬运装置机组170来达成。在另一些实施例中,在操作传输搬运装置机组170之前,一凸缘被用来将横向移动室连接至装卸室120或工艺模块150、152。在又一些实施例中,一抽气泵被用来排空横向移动室以及装卸室或工艺模块之间的气囊。
在步骤1030中,可启动一或多个驱动系统以沿着轨道来推动一或多个横向移动室内的至少其一之一。驱动系统可包括一线性马达、一齿条和齿轮系统,或一滑轮和传送带系统。驱动系统被操作用以沿着轨道来移动横向移动室并将横向移动室置于一装卸室或一对应的工艺模块附近。在一些实施例中,驱动系统包括一位置传感器或触动传感器以判定横向移动室的位置。在一些实施例中,驱动系统包括一回馈控制机构以提升横向移动室的移动以及定位。
在步骤1040中,将至少一基板从至少一横向移动室运送至二或多个工艺模块的至少其中之一。在一些实施例中,通过操作传送搬运装置机组170,而将基板从横向移动室运送至工艺模块。类似于步骤1020中的装载程序,一凸缘可被用来将横向移动室连接至工艺模块150、152。在一些实施例中,一抽气泵(evacuation pump)被用来排空横向移动室以及装卸室或工艺模块之间的气囊。
热散失最小化的基板传输方法
从另一观点来看,提供一种可减少热量损失的传送基板的方法,如图16a所绘示的流程图。在一些实施例中,利用一排程器(scheduler)1200来表明用以传输一个或多个基板的操作流程控制规则。
在一些实施例中,排程器1200作为一状态机(state machine)。在本例中,排程器1200的主要功能是协调系统100中的各种构件,如此在工艺中可提供全面的基板操作流程。
排程器1200通常用来增加系统100的产量的性能。然而,在本发明中,排程器1200益于促进工艺一致性(process consistency),此意谓当通过横向移动室而使基板在工艺模块之间传送时,基板实质上维持恒温或基板的热损耗降低。排程器1200通常利用前视工作日程法(forwardlooking scheduling method)以减少大量的时间任一基板置放于横向移动室内。
在一实施例中,排程器1200根据以下前视规则(forward looking rule)安排基板传输动作:
(a)每当一基板在工艺反应室内完成了处理程序,排程器会在协调好或建立完成该基板在系统100内的传送路径以后,才会启动由该工艺反应室传送出该基板的程序。此意谓没有基板会闲置地停滞在一横向移动室内以等待下一个可用的(availability)工艺步骤或传送站(transferstation),其中传送站如装卸室、工艺模块或任何其它处理站台。如此,排程器不会开始进行一基板的传送或传输动作除非且直到一开放路径(open path)以使基板可被运送至其下一个工艺步骤或传送点(transferpoint);以及
(b)有关传输基板的优先级,以最长的工艺时间停滞于工艺模块中的基板具有最高的优先性。
在一例中,上述规则可实施于图16a所绘示的流程图中,其绘示处理过的基板的传输路径协调逻辑(transport path reconciliation logic)的一实施例。在步骤1210中,方法始于处理一置放于工艺反应室P1中的特定基板S1完毕后。在步骤1220中,检查关于基板S1的工作流程状态(job flow status)。特别是,可确认基板S1的下一个目标位置或腔室。在步骤1230中,检查基板S1的下一个目标位置或腔室D1是否为可用的(available),其中可用的表示未被占据。若否,则基板S1维持在工艺反应室P1,如步骤1240。若是,则检查另一基板S2目前是否位在目标位置或腔室D1,如步骤1250。若否,则排程器开始将基板S1从工艺反应室P1传输至目标位置或腔室D1,如步骤1260。若是,则排程器检查关于基板S2的工作流程状态及确认其目标位置或腔室D2,如步骤1220。当一特定的实施方法于此描述时,本领域熟知此项技术者应理解前视排程器规则(forward looking scheduler rule)的其它特定实施方法在本发明的范围及教导的可能性。
举例来说,在一说明例中,一种在工艺模块或装卸站之间传送一个或多个基板的方法如以下叙述。确认一基板S1在一起始处理位置P1时的一目标位置D1。倘若基板S2占据目标位置D1,则基板S1维持在起始处理位置P1;倘若目标位置D1为可使用的(即未被占据),则传送基板S1至目标位置D1。此外,倘若基板S2占据目标位置D1,则方法还包括确认基板S2的一目标位置D2的步骤。在一些实施例中,方法还包括根据基板S1或基板S2中的哪一个具有最长的工艺时间,以决定基板S1或基板S2中的哪一个先传送至其对应的目标位置D1或目标位置D2。
图16b为依据本发明一些实施例的用以控制系统以及执行方法的计算机系统1300的方块图。系统1300通常包括一或多个处理单元(CPU’s)1302、可任意选择一或多个网络或其它的通信接口1304、存储器1310以及一或多个用以互相连接这些元件的通信总线1308。通信总线1308可包括电路(circuitry,有时称芯片组chipset),其在系统元件之间互连并控制通信。系统1300可任意选择包括一使用者接口(userinterface),例如一显示装置1306以及一输入装置1305。存储器1310可包括高速随机存取存储器(high speed random access memory);其也可包括非挥发性存储器,例如一或多个磁盘储存装置(magnetic diskstorage device)。存储器1310可包括大量储存装置(mass storage),其可以远距设置于中央处理单元1302。
存储器1310或存储器1310中的非挥发性存储装置包括一计算机可读取储存媒体(computer readable storage medium)。在一些实施例中,存储器1310储存以下的程序(program)、模块以及数据结构(data structure)或其子集合(subset):
一操作系统(operating system)1311包括处理各种系统服务以及执行硬件相关任务的程序;
使用一任选网络通信模块(optional network communicationmodule)1312,其可通过一或多个有线或无线通信网络接口(communication network interfaces)1304以及一个或一个以上的通信网络(communication network)以将系统1300连接至其它计算机,其中通信网络例如是网际网络(Internet)、其它广域网络(wide area network)、局域网络(local area networks)、都会局域网络(metropolitan areanetwork)等;
传输操作模块1320,其中操作模块1320控制或管理指令以使基板在装卸站、工艺模块等之间进行传输,其通过横向移动室,且自横向移动室、装卸站以及工艺模块来装卸基板;
工艺反应室操作模块1330控制或管理指令以控制处理基板的工艺步骤以及方法以形成p-i-n接面等,以进一步形成光伏电池;以及
排程器模块1340控制或管理指令以控制系统中基板的流程的阶层以及路径,如图16a所绘示的流程图。
上述每一识别元件可被储存在一或多个之前提及的存储装置中,且对应至一组上述用以执行功能的指令。上述识别模块或程序(例如指令组)无需以独立的软件程序(separate software program)、程序或模块来执行,如此在不同的实施例中这些模块中的不同的子集合(subset)便可结合或以不同的方法再进行重新配置。在一些实施例中,存储器1310可储存模块的子集合以及上述的数据结构。此外,存储器1310可储存额外的模块以及之前未述的数据结构。
虽然图16b绘示一种“系统”,但相较于此描述的实施例的一结构示意,图16b意指更多可在一组处理器中所提供(如在客户中或在服务器中)的各种不同特征的功能上的叙述。实务上,且本领域熟知此项技术者应理解,图中分离的项目可结合在一起且一些项目也可分离。举例来说,图16b中一些分离的项目可在单一服务器(server)上实施,而单一项目则可在一或多个服务器上实施。然而,其间用于执行系统的资源的实际数目以及特征会随着实施方法的不同而改变。
本实施例的方法可受控于储存于一计算机可读取储存媒体的指令,并通过一或多个服务器中的一或多个处理器来进行执行。每一操作步骤绘示于图16a以及图16b中,其可对应至储存于一计算机存储器或计算机可读取储存媒体的指令。计算机可读取储存媒体可包括磁盘储存装置或光盘储存装置(optical disk storage device)、固态储存装置(例如闪存)、或其它非挥发性存储装置、或其它装置。储存在计算机可读取储存媒体之上的计算机可读取指令为原始码(source code)、汇编语言码(assemblylanguage code)、目的码(object code)或其它指令格式(instructionformat),其由一个或多个处理器编译(interpret)。
工艺反应室整合设施
就本发明的又一个观点来看,提供一种具有整合设施的工艺模块设施300,如图17所示。在一实施例中,工艺模块设施300通常包括设置于框架中的工艺反应室302、底座306以及工艺反应室泵。底座306置放气体控制线路以及其它管路(未绘示)。工艺反应室泵308较佳地配置在靠近工艺反应室302附近,并通过底座306中的气体控制线路而与工艺反应室302连接。额外地但非必须地,电控装置310可被置放于靠近工艺反应室附近,并通过置放于底座306中的电线(未绘示)与工艺反应室连接。由于本发明的整合设施(integrated facility)300为模块化(modular)而具有弹性,且可易于组合在现行的晶片厂(fab)中,其一般置于混凝土板上,如此便具有应用现行半导体厂的优势。
虽然本发明已以实施例描述如上,然其并非用以限定本发明,任何所属技术领域中具有通常知识者,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,故本发明的保护范围当视权利要求范围所界定的为准。
Claims (44)
1.一种用来处理基板的系统,其包含:一或多个横向移动室,其可在二或多个工艺模块之间移动,并将一或多个基板运送给至少一该二或多个工艺模块,其中当各该横向移动室在所述工艺模块之间移动或将该一或多个基板运送给所述工艺模块时,该横向移动室维持具有一特定的气体条件。
2.如权利要求1所述的用来处理基板的系统,其中所述横向移动室可同时容纳一或多个水平叠层的基板。
3.如权利要求1所述的用来处理基板的系统,其中所述横向移动室可同时容纳一或多个垂直叠层的基板。
4.如权利要求1所述的用来处理基板的系统,另包含一固定式泵设于所述工艺模块或一装卸室的至少其中一种,当该横向移动室连接于个别对应的该工艺模块时,该固定式泵可对该横向移动室进行一抽气动作。
5.如权利要求4所述的用来处理基板的系统,其中当该横向移动室连接于个别对应的该工艺模块时,该固定式泵可对该工艺模块以及该横向移动室之间所产生的气囊进行抽气。
6.如权利要求1所述的用来处理基板的系统,其另包含一移动式抽气泵设置于该横向移动室,当该横向移动室连接于个别对应的该工艺模块时,该移动式抽气泵可对在个别对应的该工艺模块以及该横向移动室之间所产生的气囊进行抽气。
7.如权利要求1所述的用来处理基板的系统,其中各该横向移动室独立维持具有该特定的气体条件。
8.如权利要求7所述的用来处理基板的系统,其中该横向移动室另包含一加热源。
9.如权利要求1所述的用来处理基板的系统,其中该气体条件包含该横向移动室中的气体种类或气体压力。
10.如权利要求1所述的用来处理基板的系统,其中该横向移动室内的气体维持在50毫托至1000毫托的一压力范围。
11.一种用来处理基板的系统,其包含:
二或多个工艺模块,各该工艺模块包含一工艺反应室以处理基板;
一基板搬运装置;
一装卸室,用来接受由该基板搬运装置传来的基板;以及
一横向基板处理装置,用来接受由该装卸室传来的基板以及将基板传送给至少一该二或多个工艺模块,该横向基板处理装置包含:
一或多个横向移动室,可在该二或多个工艺模块之间移动,以及将一或多个基板运送给至少一该二或多个工艺模块,其中当该横向移动室在所述工艺模块之间移动或在运送一或多个基板时,各该横向移动室维持具有一特定的气体条件。
12.如权利要求11所述的用来处理基板的系统,其中该横向基板处理装置另包含:
至少一轨道,用来支撑该一或多个横向移动室,该轨道设置于该二或多个工艺模块的入口的邻近处;以及
一或多个驱动系统,用来推动该一或多个横向移动室在该轨道上移动。
13.如权利要求11所述的用来处理基板的系统,其中各该横向移动室独立维持具有该特定的气体条件。
14.如权利要求13所述的用来处理基板的系统,其中该气体条件包含该横向移动室中的气体类型或气体压力。
15.如权利要求11所述的用来处理基板的系统,其中该横向移动室包含一拖曳装置,可用来移动该横向移动室内的一或多个基板。
16.如权利要求11所述的用来处理基板的系统,其中该横向移动室包含二或多个狭长入口,其中一第一狭长入口设于该横向移动室的一侧,而一第二狭长入口设于该横向移动室的相反的一侧。
17.如权利要求11所述的用来处理基板的系统,其中该横向基板处理装置将所述基板成对传送。
18.如权利要求11所述的用来处理基板的系统,其中该横向基板处理装置垂直传送单一基板或同时垂直传送二基板。
19.如权利要求11所述的用来处理基板的系统,其中该横向基板处理装置用来传送一可分离的匣子,且该可分离的匣子用来装载成对的基板。
20.如权利要求11所述的用来处理基板的系统,其另包含至少一固定式泵设于所述工艺模块或该装卸室的至少其中一种。
21.如权利要求20所述的用来处理基板的系统,其中当该横向移动室连接于个别的该工艺模块时,该固定式泵可对该横向移动室进行一抽气动作。
22.如权利要求20所述的用来处理基板的系统,其中当该横向移动室连接于个别的该工艺模块时,该固定式泵可对在该工艺模块以及该横向移动室之间所产生的气囊进行抽气。
23.如权利要求22所述的用来处理基板的系统,其另包含复数条气体控制线路,与各该气囊以及该固定式泵相连接,且所述气体控制线路由多个气动阀而隔绝。
24.如权利要求11所述的用来处理基板的系统,其另包含一移动式抽气泵设置于该横向移动室,当该横向移动室连接于个别的该工艺模块时,该移动式抽气泵可对在该工艺模块以及该横向移动室之间所产生的气囊进行抽气。
25.如权利要求11所述的用来处理基板的系统,其中该横向移动室另包含一加热源。
26.如权利要求11所述的用来处理基板的系统,另包含一预热器以及一或多个冷却架,其中该预热器以及该一或多个冷却架连接于该横向基板处理装置。
27.如权利要求11所述的用来处理基板的系统,其中该二或多个工艺模块包含任一或多个下述的模块或反应室:化学气相沉积室、等离子体增益化学气相沉积室、原子层沉积室、蚀刻室、物理气相沉积室、退火炉、快速热退火炉、常压化学气相沉积室、蒸气涂布室。
28.如权利要求11所述的用来处理基板的系统,其中该二或多个工艺模块包含二或多个等离子体增益化学气相沉积模块,各该等离子体增益化学气相沉积模块用来在一或多个基板的表面沉积P型硅层、本征硅层或N型硅层。
29.如权利要求28所述的用来处理基板的系统,其中用来沉积本征硅层或N型硅层的所述工艺模块的数量较多于用来沉积P型硅层的所述工艺模块的数量。
30.如权利要求11所述的用来处理基板的系统,其中该横向移动室内的气体维持在50毫托至1000毫托的一压力范围。
31.如权利要求11所述的用来处理基板的系统,其中该横向移动室维持具有一气体条件,使得该横向移动室以及所述工艺模块的压力差范围为10至500毫托。
32.如权利要求11所述的用来处理基板的系统,其中该横向移动室另包含至少一传送搬运装置机组,其在一回缩位置与一伸展位置时可支撑至少一基板。
33.如权利要求32所述的用来处理基板的系统,其中该传送搬运装置机组另包含一基板承载台,其具有多个用来支撑基板的分叉部件以及支撑轨道设于所述分叉部件的最外相对侧,以用来保护基板的边缘。
34.如权利要求32所述的用来处理基板的系统,其中该传送搬运装置机组另包含一摆臂机构,用来使该基板承载台在该回缩位置以及该伸展位置之间移动。
35.如权利要求34所述的用来处理基板的系统,其中该摆臂机构另包含一摆臂以及一滑座,其内具有一通道,且该摆臂的一端可在该通道内进行直线移动,而该摆臂的另一端则枢接于一固定柱。
36.如权利要求11所述的用来处理基板的系统,其中该横向移动室另包含一接合机组。
37.如权利要求36所述的用来处理基板的系统,其中该接合机组另包含一可变形的薄膜。
38.一种用来将基板传送至二或多个工艺模块的传输系统,其包含:
一或多个横向移动室,用来将一或多个基板运送给至少一该二或多个工艺模块,其中在运送该一或多个基板时,各该横向移动室维持具有一特定的气体条件;
一轨道,用来支撑该一或多个横向移动室,其中该轨道设置于该二或多个工艺模块的入口的一邻近处;以及
一或多个驱动系统,用来推动该一或多个横向移动室在该轨道上移动。
39.一种横向移动室,其包含:
至少一传送搬运装置机组,其在一回缩位置与一伸展位置时可支撑至少一基板;以及
一抽气泵设于该横向移动室的一框架上,该抽气泵可对该横向移动室接合于一工艺模块时所形成的气室进行抽气。
40.如权利要求39所述的横向移动室,其中该传送搬运装置机组另包含一基板承载台,其具有多个用来支撑一该基板的分叉部件以及支撑轨道设于所述分叉部件的最外相对侧,以用来保护该基板的边缘。
41.如权利要求39所述的横向移动室,其中该传送搬运装置机组另包含一摆臂机构,用来使一基板承载台在该回缩位置以及该伸展位置之间移动。
42.如权利要求41所述的横向移动室,其中该摆臂机构另包含一摆臂以及一滑座,其内具有一通道,且该摆臂的一端可在该通道内进行直线移动,而该摆臂的另一端则枢接于一固定柱。
43.如权利要求39所述的横向移动室,其设置于一支撑轨道上,其中该支撑轨道另包含一或多个止挡装置,以用来集中该基板。
44.一种将基板传送至二或多个工艺模块的方法,其包含:
提供一或多个横向移动室,所述横向移动室设于一轨道上,而该轨道与该二或多个工艺模块相邻设置,在运送基板时,各该横向移动室维持具有一特定的气体条件;
将至少一基板装载于该一或多个横向移动室的至少其中一种;
启动一或多个驱动系统以推动该一或多个横向移动室的至少其中一种沿着该轨道移动;以及
将至少一该基板由该一或多个横向移动室的至少其中一种运送至该二或多个工艺模块的至少其中一种。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/319,225 US20100162955A1 (en) | 2008-12-31 | 2008-12-31 | Systems and methods for substrate processing |
US12/319,225 | 2008-12-31 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101767719A true CN101767719A (zh) | 2010-07-07 |
CN101767719B CN101767719B (zh) | 2015-11-25 |
Family
ID=42283375
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN200910150907.5A Expired - Fee Related CN101767719B (zh) | 2008-12-31 | 2009-06-25 | 基板的处理系统、传输系统和传输方法以及横向移动室 |
CN2009201608555U Expired - Fee Related CN201478276U (zh) | 2008-12-31 | 2009-06-25 | 基板的处理装置、传输装置和横向移动室 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2009201608555U Expired - Fee Related CN201478276U (zh) | 2008-12-31 | 2009-06-25 | 基板的处理装置、传输装置和横向移动室 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20100162955A1 (zh) |
CN (2) | CN101767719B (zh) |
TW (1) | TWI490971B (zh) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108666231A (zh) * | 2017-03-28 | 2018-10-16 | 雷仲礼 | 基板处理系统、基板传送装置和传送方法 |
CN109257933A (zh) * | 2017-05-16 | 2019-01-22 | 应用材料公司 | 用于处理基板的设备、处理系统和方法 |
CN110634767A (zh) * | 2018-06-21 | 2019-12-31 | Asm知识产权私人控股有限公司 | 衬底处理系统 |
TWI811539B (zh) * | 2019-05-28 | 2023-08-11 | 日商澁谷工業股份有限公司 | 接合裝置 |
Families Citing this family (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10808319B1 (en) | 2010-02-26 | 2020-10-20 | Quantum Innovations, Inc. | System and method for vapor deposition of substrates with circular substrate frame that rotates in a planetary motion and curved lens support arms |
US10550474B1 (en) | 2010-02-26 | 2020-02-04 | Quantum Innovations, Inc. | Vapor deposition system |
US20120058630A1 (en) * | 2010-09-08 | 2012-03-08 | Veeco Instruments Inc. | Linear Cluster Deposition System |
CA2879971A1 (en) * | 2012-03-20 | 2013-09-26 | Quantum Innovations, Inc. | Vapor deposition system and method |
DE102013105896A1 (de) * | 2013-06-07 | 2014-12-11 | Aixtron Se | Fertigungseinrichtung mit einem Magnetschienentransportsystem |
CN104251250B (zh) * | 2013-06-25 | 2016-03-02 | 英属开曼群岛商精曜有限公司 | 群集式真空接合系统 |
US10103046B2 (en) | 2015-04-20 | 2018-10-16 | Applied Materials, Inc. | Buffer chamber wafer heating mechanism and supporting robot |
US9502275B1 (en) * | 2015-10-20 | 2016-11-22 | Lam Research Corporation | Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs |
JP6503280B2 (ja) * | 2015-11-12 | 2019-04-17 | 株式会社Screenホールディングス | 基板処理装置 |
US10121655B2 (en) | 2015-11-20 | 2018-11-06 | Applied Materials, Inc. | Lateral plasma/radical source |
CN106486567A (zh) * | 2016-11-14 | 2017-03-08 | 苏州阿特斯阳光电力科技有限公司 | 一种晶体硅太阳能电池的减反射膜及其制备方法 |
WO2019140989A1 (zh) * | 2018-01-17 | 2019-07-25 | 北京北方华创微电子装备有限公司 | 半导体设备 |
CN111636058B (zh) * | 2020-05-22 | 2022-06-28 | 湖南红太阳光电科技有限公司 | 一种管式pecvd预热系统实验装置 |
CN113913792B (zh) * | 2021-10-09 | 2024-03-15 | 上海骐碳复合材料科技有限公司 | 一种横向连续递进式气相沉积炉及其工作方法 |
Family Cites Families (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5024570A (en) * | 1988-09-14 | 1991-06-18 | Fujitsu Limited | Continuous semiconductor substrate processing system |
US5536128A (en) * | 1988-10-21 | 1996-07-16 | Hitachi, Ltd. | Method and apparatus for carrying a variety of products |
JPH081923B2 (ja) * | 1991-06-24 | 1996-01-10 | ティーディーケイ株式会社 | クリーン搬送方法及び装置 |
US6333101B1 (en) * | 1992-02-28 | 2001-12-25 | Nisshinbo Industries, Inc. | Method of adhering adherends |
ES2078718T3 (es) * | 1992-08-04 | 1995-12-16 | Ibm | Estructuras de cadenas de fabricacion a base de transportadores totalmente automatizados e informatizados adaptados a recipientes transportables estancos a presion. |
JPH0669295A (ja) * | 1992-08-17 | 1994-03-11 | Tokyo Electron Ltd | プローブシステム |
US5417537A (en) * | 1993-05-07 | 1995-05-23 | Miller; Kenneth C. | Wafer transport device |
TW276353B (zh) * | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
JP3796782B2 (ja) * | 1995-11-13 | 2006-07-12 | アシスト シンコー株式会社 | 機械的インターフェイス装置 |
US5892200A (en) * | 1996-09-19 | 1999-04-06 | The Boc Group, Inc. | Transfer port system |
US5928389A (en) * | 1996-10-21 | 1999-07-27 | Applied Materials, Inc. | Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool |
DE19734509C2 (de) * | 1997-08-08 | 2002-11-07 | Infineon Technologies Ag | Leistungstransistorzelle |
JPH11135600A (ja) * | 1997-08-25 | 1999-05-21 | Shibaura Mechatronics Corp | ロボット装置および処理装置 |
US6122566A (en) * | 1998-03-03 | 2000-09-19 | Applied Materials Inc. | Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system |
KR100265287B1 (ko) * | 1998-04-21 | 2000-10-02 | 윤종용 | 반도체소자 제조용 식각설비의 멀티챔버 시스템 |
US6187103B1 (en) * | 1998-08-27 | 2001-02-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for transporting wafers |
US6411859B1 (en) * | 1998-08-28 | 2002-06-25 | Advanced Micro Devices, Inc. | Flow control in a semiconductor fabrication facility |
US20010014268A1 (en) * | 1998-10-28 | 2001-08-16 | Charles S. Bryson | Multi-axis transfer arm with an extensible tracked carriage |
US6662076B1 (en) * | 1999-02-10 | 2003-12-09 | Advanced Micro Devices, Inc. | Management of move requests from a factory system to an automated material handling system |
JP2000286319A (ja) * | 1999-03-31 | 2000-10-13 | Canon Inc | 基板搬送方法および半導体製造装置 |
KR20010014928A (ko) * | 1999-05-19 | 2001-02-26 | 칼 하인쯔 호르닝어 | 반도체 제품의 제조를 위한 설비 |
WO2001054187A1 (fr) * | 2000-01-17 | 2001-07-26 | Ebara Corporation | Appareil de commande de transfert de tranches et procede de transfert de tranches |
US6548557B1 (en) * | 2000-02-24 | 2003-04-15 | Walter Merton Co., Inc. | Neutralization of phosphate esters, compositions based upon and methods using same |
US6519498B1 (en) * | 2000-03-10 | 2003-02-11 | Applied Materials, Inc. | Method and apparatus for managing scheduling in a multiple cluster tool |
KR100350719B1 (ko) * | 2000-11-30 | 2002-08-29 | 삼성전자 주식회사 | 반도체 제조에 사용되는 이송 장치 |
JP4937459B2 (ja) * | 2001-04-06 | 2012-05-23 | 東京エレクトロン株式会社 | クラスタツールおよび搬送制御方法 |
JP2002319609A (ja) * | 2001-04-19 | 2002-10-31 | Hitachi Ltd | 半導体集積回路装置の製造方法 |
KR100640105B1 (ko) * | 2001-04-19 | 2006-10-30 | 무라타 기카이 가부시키가이샤 | 무인운반차, 무인운반차시스템 및 웨이퍼운반방법 |
TW497236B (en) * | 2001-08-27 | 2002-08-01 | Chipmos Technologies Inc | A soc packaging process |
CN1996552B (zh) * | 2001-08-31 | 2012-09-05 | 克罗辛自动化公司 | 晶片机 |
US6637998B2 (en) * | 2001-10-01 | 2003-10-28 | Air Products And Chemicals, Inc. | Self evacuating micro environment system |
NL1020633C2 (nl) * | 2002-05-21 | 2003-11-24 | Otb Group Bv | Samenstel voor het behandelen van substraten. |
US7988398B2 (en) * | 2002-07-22 | 2011-08-02 | Brooks Automation, Inc. | Linear substrate transport apparatus |
KR101120497B1 (ko) * | 2002-11-15 | 2012-02-29 | 외를리콘 솔라 아게, 트뤼프바흐 | 2차원 확장 기판의 진공처리용 장치 및 그기판의 제조방법 |
US6679672B1 (en) * | 2003-03-10 | 2004-01-20 | Syracuse University | Transfer port for movement of materials between clean rooms |
WO2005004227A1 (ja) * | 2003-07-07 | 2005-01-13 | Rorze Corporation | 薄板状物の変位量検出方法及び変位量修正方法 |
US7720557B2 (en) * | 2003-11-06 | 2010-05-18 | Applied Materials, Inc. | Methods and apparatus for enhanced operation of substrate carrier handlers |
US20050136681A1 (en) * | 2003-12-23 | 2005-06-23 | Tokyo Electron Limited | Method and apparatus for removing photoresist from a substrate |
US7274971B2 (en) * | 2004-02-28 | 2007-09-25 | Applied Materials, Inc. | Methods and apparatus for electronic device manufacturing system monitoring and control |
US7177716B2 (en) * | 2004-02-28 | 2007-02-13 | Applied Materials, Inc. | Methods and apparatus for material control system interface |
US8668422B2 (en) * | 2004-08-17 | 2014-03-11 | Mattson Technology, Inc. | Low cost high throughput processing platform |
US20060137609A1 (en) * | 2004-09-13 | 2006-06-29 | Puchacz Jerzy P | Multi-single wafer processing apparatus |
US7396412B2 (en) * | 2004-12-22 | 2008-07-08 | Sokudo Co., Ltd. | Coat/develop module with shared dispense |
JP4414910B2 (ja) * | 2005-02-17 | 2010-02-17 | 東京エレクトロン株式会社 | 半導体製造装置及び半導体製造方法 |
US7410340B2 (en) * | 2005-02-24 | 2008-08-12 | Asyst Technologies, Inc. | Direct tool loading |
TW200715448A (en) * | 2005-07-25 | 2007-04-16 | Canon Anelva Corp | Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system |
US7771150B2 (en) * | 2005-08-26 | 2010-08-10 | Jusung Engineering Co., Ltd. | Gate valve and substrate-treating apparatus including the same |
US20070051314A1 (en) * | 2005-09-08 | 2007-03-08 | Jusung Engineering Co., Ltd. | Movable transfer chamber and substrate-treating apparatus including the same |
US7628574B2 (en) * | 2006-03-28 | 2009-12-08 | Arcus Technology, Inc. | Apparatus and method for processing substrates using one or more vacuum transfer chamber units |
US7901539B2 (en) * | 2006-09-19 | 2011-03-08 | Intevac, Inc. | Apparatus and methods for transporting and processing substrates |
WO2008106499A2 (en) * | 2007-02-28 | 2008-09-04 | Applied Materials, Inc. | Rigid rf transmission line with easy removal section |
US7897525B2 (en) * | 2008-12-31 | 2011-03-01 | Archers Inc. | Methods and systems of transferring, docking and processing substrates |
-
2008
- 2008-12-31 US US12/319,225 patent/US20100162955A1/en not_active Abandoned
-
2009
- 2009-06-25 CN CN200910150907.5A patent/CN101767719B/zh not_active Expired - Fee Related
- 2009-06-25 CN CN2009201608555U patent/CN201478276U/zh not_active Expired - Fee Related
- 2009-12-23 TW TW098144529A patent/TWI490971B/zh not_active IP Right Cessation
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108666231A (zh) * | 2017-03-28 | 2018-10-16 | 雷仲礼 | 基板处理系统、基板传送装置和传送方法 |
CN108666231B (zh) * | 2017-03-28 | 2022-04-26 | 雷仲礼 | 基板处理系统、基板传送装置和传送方法 |
CN109257933A (zh) * | 2017-05-16 | 2019-01-22 | 应用材料公司 | 用于处理基板的设备、处理系统和方法 |
CN110634767A (zh) * | 2018-06-21 | 2019-12-31 | Asm知识产权私人控股有限公司 | 衬底处理系统 |
CN110634767B (zh) * | 2018-06-21 | 2023-04-25 | Asm知识产权私人控股有限公司 | 衬底处理系统 |
TWI811539B (zh) * | 2019-05-28 | 2023-08-11 | 日商澁谷工業股份有限公司 | 接合裝置 |
Also Published As
Publication number | Publication date |
---|---|
TWI490971B (zh) | 2015-07-01 |
CN201478276U (zh) | 2010-05-19 |
US20100162955A1 (en) | 2010-07-01 |
TW201101408A (en) | 2011-01-01 |
CN101767719B (zh) | 2015-11-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101767718B (zh) | 传送一个或多个基板于工艺模块之间或装卸站之间的方法 | |
CN101767717B (zh) | 传送基板至二个或多个的工艺模块的方法 | |
CN201478276U (zh) | 基板的处理装置、传输装置和横向移动室 | |
CN101770934B (zh) | 工艺模块设施 | |
US8367565B2 (en) | Methods and systems of transferring, docking and processing substrates | |
CN101438387B (zh) | 用于ald和cvd的批式处理平台 | |
CN101150051B (zh) | 传输和加工衬底的装置 | |
KR20020012209A (ko) | 엘씨디 유리 기판의 반송 시스템 | |
CN102325709A (zh) | 基材反转系统 | |
CN103988290A (zh) | 工件处理系统以及工件处理方法 | |
JP2009147266A (ja) | 薄膜太陽電池製造装置システム及び共通基板保管ラック | |
CN101630634A (zh) | 用于基板传输的系统和方法 | |
WO2010078264A2 (en) | Methods and systems of transferring, docking and processing substrates | |
CN105845610A (zh) | 基板自动传输系统 | |
JP2008202146A (ja) | 縦型化学気相成長装置及び該装置を用いた成膜方法 | |
CN108091722A (zh) | 一种自动上下料及自动翻片系统及其工作方法 | |
KR101393269B1 (ko) | 기판 전달 장치, 기판 전달 방법 및 기판 처리 장치 | |
CN101908469B (zh) | 处理装置 | |
CN211284519U (zh) | 蒸镀系统和蒸镀产线 | |
JP2010067878A (ja) | 基板処理装置 | |
KR101781893B1 (ko) | 웨이퍼 로딩장치 | |
KR102034706B1 (ko) | 기판처리시스템 및 기판처리방법 | |
WO2013107766A1 (en) | Vacuum deposition system | |
JP2009246060A (ja) | インライン型処理装置 | |
CN104094394A (zh) | 用于分散的基板的具有蜂巢式结构的动态负载锁定 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20151125 |
|
CF01 | Termination of patent right due to non-payment of annual fee |