CN101736319B - 气体注入装置及成膜装置 - Google Patents

气体注入装置及成膜装置 Download PDF

Info

Publication number
CN101736319B
CN101736319B CN2009101783402A CN200910178340A CN101736319B CN 101736319 B CN101736319 B CN 101736319B CN 2009101783402 A CN2009101783402 A CN 2009101783402A CN 200910178340 A CN200910178340 A CN 200910178340A CN 101736319 B CN101736319 B CN 101736319B
Authority
CN
China
Prior art keywords
gas
universal stage
film deposition
deposition system
vacuum vessel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009101783402A
Other languages
English (en)
Other versions
CN101736319A (zh
Inventor
加藤寿
竹内靖
本间学
菊地宏之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101736319A publication Critical patent/CN101736319A/zh
Application granted granted Critical
Publication of CN101736319B publication Critical patent/CN101736319B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/20Arrangements of several outlets along elongated bodies, e.g. perforated pipes or troughs, e.g. spray booms; Outlet elements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/26Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with means for mechanically breaking-up or deflecting the jet after discharge, e.g. with fixed deflectors; Breaking-up the discharged liquid or other fluent material by impinging jets
    • B05B1/262Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with means for mechanically breaking-up or deflecting the jet after discharge, e.g. with fixed deflectors; Breaking-up the discharged liquid or other fluent material by impinging jets with fixed deflectors
    • B05B1/267Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with means for mechanically breaking-up or deflecting the jet after discharge, e.g. with fixed deflectors; Breaking-up the discharged liquid or other fluent material by impinging jets with fixed deflectors the liquid or other fluent material being deflected in determined directions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B13/00Machines or plants for applying liquids or other fluent materials to surfaces of objects or other work by spraying, not covered by groups B05B1/00 - B05B11/00
    • B05B13/02Means for supporting work; Arrangement or mounting of spray heads; Adaptation or arrangement of means for feeding work
    • B05B13/0221Means for supporting work; Arrangement or mounting of spray heads; Adaptation or arrangement of means for feeding work characterised by the means for moving or conveying the objects or other work, e.g. conveyor belts
    • B05B13/0242Means for supporting work; Arrangement or mounting of spray heads; Adaptation or arrangement of means for feeding work characterised by the means for moving or conveying the objects or other work, e.g. conveyor belts the objects being individually presented to the spray heads by a rotating element, e.g. turntable

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种气体注入装置及成膜装置。该气体注入装置的注入装置主体具有气体导入口并构成气体流路,气体流出孔沿着上述注入装置主体的长度方向在注入装置主体的壁部排列有多个,引导构件被设置成在引导构件与上述注入装置主体的外表面之间形成沿上述注入装置主体的长度方向延伸的狭缝状的气体喷出口,将从气体流出孔流出的气体引导到上述气体喷出口。

Description

气体注入装置及成膜装置
技术领域
本发明涉及气体注入装置及成膜装置。
背景技术
作为半导体制造工艺中的成膜方法,公知有这样的工艺:在真空气氛下使第1反应气体吸附于作为基板的半导体晶圆(以下简称为“晶圆”)等的表面上之后,将供给的气体切换为第2反应气体,通过两种气体的反应形成1层或多层的原子层、分子层,反复多次进行该循环,从而层叠这些层而在基板上成膜。该工艺例如被称为ALD(Atomic Layer Deposition)、MLD(Molecular Layer Deposition)等(以下称为ALD方式),能够根据反复的循环次数高精度地控制膜厚,并且,膜质的面内均匀性也良好,是能够应对半导体器件薄膜化的有效的方法。
作为实施这样的成膜方法的装置,使用在真空容器的上部中央具有气体簇射头的单片式成膜装置,研究出一种从基板的中央部上方侧供给反应气体、从处理容器的底部排出未反应的反应气体及反应副产物的方法。但是,上述成膜方法存在如下问题:由吹扫气体进行气体置换要花费很长的时间,而且反复循环次数较多、例如反复次数为几百次,因此,处理时间较长,期望能够以高生产率进行处理的装置、方法。
基于这样的背景,在专利文献1~专利文献8中记载有将多张基板沿旋转方向配置在真空容器内的旋转台上来进行成膜处理的装置,但在这些各文献所述的成膜装置中存在微粒、反应生成物附着于晶圆的问题、而且吹扫需要较长时间或者在不必要的区域中发生反应这样的问题。
专利文献1:美国专利公报7,153,542号:图6(a)、图6(b)
专利文献2:日本特开2001-254181号公报:图1、图2
专利文献3:日本专利3144664号公报:图1、图2、权利要求1
专利文献4:日本特开平4-287912号公报
专利文献5:美国专利公报6,634,314号
专利文献6:日本特开2007-247066号公报:段落0023~0025、0058、图12及图18
专利文献7:美国专利公开公报2007-218701号
专利文献8:美国专利公开公报2007-218702号
发明内容
本发明是鉴于这样的情况而做成的,其目的在于提供一种解决了在专利文献1~专利文献8所述的构造中存在的诸多问题、并且也解决了在解决该问题的过程中新产生的问题点的构造。
本发明的气体注入装置包括:注入装置主体,具有气体导入口及气体流路;多个气体流出孔,沿着注入装置主体的长度方向排列在注入装置主体的壁部;引导构件,被设置成在该引导构件与注入装置主体的外表面之间形成沿着该注入装置主体的长度方向延伸的狭缝状的气体喷出口,将从气体流出孔流出的气体引导到气体喷出口。
另外,本发明的成膜装置通过反复进行在真空容器内将互相反应的至少2种反应气体按顺序供给到基板的表面上的供给循环,层叠多层反应生成物层而形成薄膜,其中,包括:真空容器内的旋转台;基板载置区域,为了将基板载置于旋转台上而设置;第1反应气体供给部及第2反应气体供给部,在旋转台的旋转方向上互相分开地设置,第1反应气体供给部用于向旋转台的基板载置区域侧的面供给第1反应气体,第2反应气体供给部用于向旋转台的基板载置区域侧的面供给第2反应气体;分离区域,用于分离被供给第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域的气氛,在旋转台的旋转方向上位于第1处理区域与第2处理区域之间,设有供给分离气体的分离气体供给部;排气口,对真空容器内进行真空排气;第1反应气体供给部及第2反应气体供给部中的至少一方是气体注入装置,气体注入装置在与旋转台的旋转方向交叉的方向上延伸,气体喷出口与旋转台相对。
附图说明
图1是表示本发明的实施方式的成膜装置的纵截面的图3的I-I’纵剖视图。
图2是表示上述成膜装置的内部概略构造的立体图。
图3是上述成膜装置的横剖俯视图。
图4A、图4B是表示上述成膜装置中的处理区域及分离区域的纵剖视图。
图5是上述成膜装置中的分离区域的纵剖视图。
图6是表示分离气体或者吹扫气体的流动状态的说明图。
图7是表示设置于上述成膜装置的气体注入装置的立体图。
图8是上述气体注入装置的纵剖侧视图。
图9是表示上述气体注入装置的构造的立体图。
图10A、图10B是上述气体注入装置的侧视图及仰视图。
图11是表示第1反应气体及第2反应气体被分离气体分离而排出的状态的说明图。
图12是表示上述气体注入装置的另一例子的纵剖侧视图。
图13是上述另一例子的气体注入装置的立体图。
图14A、图14B是用于说明分离区域所采用的凸状部的尺寸例子的说明图。
图15是表示本发明的另一实施方式的成膜装置的横剖俯视图。
图16是表示本发明的除上述之外的实施方式的成膜装置的横剖俯视图。
图17是表示本发明的除上述之外的实施方式的成膜装置的纵剖视图。
图18是表示采用了本发明的成膜装置的基板处理系统的一个例子的概略俯视图。
图19是表示实施例及比较例的成膜装置的模拟模型构造的俯视图。
图20A、图20B、图20C、图20D是表示上述实施例(图20A:实施例1,图20B:实施例2)、及比较例(图20C:比较例1、图20D:比较例2)的反应气体供给部的构造的说明图。
图21是表示上述实施例及比较例的模拟结果的说明图。
具体实施方式
本发明的实施方式涉及这样的技术,即,通过反复执行多次将互相反应的至少2种反应气体按顺序供给到基板的表面上的供给循环,层叠多层反应生成物的层而形成薄膜。
在此,在说明本发明的实施方式之前,为了进行比较而说明参考例的成膜装置。参考例的成膜装置是能够解决在上述专利文献1~专利文献8所述的构造中存在的诸多问题的旋转台式成膜装置。
在参考例的成膜装置中,例如在与旋转台的旋转方向交叉的方向上延伸的细长圆筒状的气体喷嘴的下表面上,沿着喷嘴的长度方向设置多个气体流出孔,随着旋转台的旋转,自这些气体流出孔朝向通过气体喷嘴下方的基板载置区域上的晶圆的表面喷出反应气体。而且,例如使用2根气体喷嘴连续地供给2种反应气体,使旋转台旋转,从而向晶圆表面交替地供给这些反应气体,例如进行在晶圆表面形成氧化硅膜的成膜处理,结果,能确认形成的膜的膜厚沿着气体喷嘴的长度方向起波纹地变化的现象。在观察该膜厚的变化的状态时,在通过气体流出孔下方的区域形成的膜较厚,在其他区域较薄,能确认设置于气体喷嘴的气体流出孔通过氧化硅膜的膜厚之差而被复制于晶圆表面上(以下将该现象称为“波动(日文:波打ち)”现象。
通常,由于ALD方式是利用反应气体原子、分子吸附于晶圆表面的成膜法,因此,众所周知膜厚的均匀性良好。对不仅这样的成膜方式而且在旋转台型的成膜装置中也产生上述波动现象的原因进行了推测,原因在于,由于反应气体自散布在气体喷嘴下表面的气体流出孔直接喷射到晶圆表面上、旋转台有时也以例如几百rpm这样的非常高的旋转速度通过气体喷嘴下方等,在反应气体的吸附状态达到平衡之前,晶圆就自该气体流出孔离开,在气体流出孔的正下方与除气体流出孔的正下方之外的区域之间吸附于晶圆的反应气体的量不同。
为了消除所形成的膜的波动现象,需要沿喷嘴的长度方向均匀地供给反应气体,例如也考虑替代气体流出孔而设置沿喷嘴的长度方向延伸的狭缝的方法。但是,与气体流出孔相比,反应气体在通过狭缝时的流速较大,例如从气体喷嘴的基端侧供给反应气体时,在压力高的基端侧与压力低的顶端侧之间朝向晶圆喷出的气体量之差变大,一般认为难以以均匀的浓度供给反应气体。也想到为了减小基端侧与顶端侧之间的压力差而采用管径较大的气体喷嘴,但在这种情况下,存在容纳气体喷嘴所需的空间变大、真空容器大型化而导致成膜装置整体大型化这样的问题。
采用本发明的实施方式,通过设置以下详细说明的构造,利用引导构件来引导从设置在构成气体注入装置的注入装置主体的壁部的多个气体流出孔喷出的气体,经由沿着注入装置主体的长度方向延伸的狭缝状的气体喷出口供给气体。结果,在利用引导构件引导时,能够使气体沿着上述狭缝所延伸的方向分散。因而,例如在从气体注入装置向载置在载置区域上的基板供给气体而使气体吸附在基板的表面上的工艺等中,能够沿着注入装置主体所延伸的方向供给均匀浓度的气体。由此,与应用向基板直接喷射自设置于注入装置主体壁部的气体流出孔喷出的气体的类型的气体注入装置的情况相比,能够抑制产生在设有气体流出孔的区域和除此之外的区域吸附于基板上的气体量不同这样的不良情况。
因而,采用本发明的实施方式,能够提供一种能够在注入装置主体的长度方向供给均匀浓度气体的气体注入装置以及具有该气体注入装置的成膜装置。
如图1(图3的I-I’剖视图)所示,本发明的实施方式的成膜装置包括平面(俯视)形状为大致圆形的扁平的真空容器1、以及旋转台2,该旋转台2设置在该真空容器1内,在该真空容器1的中心具有旋转中心。真空容器1构成为顶板11能够自容器主体12分离的结构。顶板11夹着设置在该容器主体12的上表面上的密封构件、例如O型密封圈13而利用内部的减压状态压靠在容器主体12侧,维持气密状态。在使顶板11自容器主体12分离时,利用未图示的驱动机构将顶板11向上方抬起。
旋转台2的中心部被固定在圆筒形状的芯部21上,芯部21被固定在沿铅直方向延伸的旋转轴22的上端。旋转轴22贯通真空容器1的底面部14,其下端安装于使该旋转轴22绕铅直轴线、在该例子中向顺时针方向旋转的驱动部23。旋转轴22及驱动部23被收纳在上表面开口的筒状的壳体20内。该壳体20的设置在其上表面的凸缘部分气密地安装在真空容器1的底面部14的下表面上,维持壳体20的内部气氛与外部气氛的气密状态。
如图2及图3所示,在旋转台2的表面部,沿着旋转方向(周向)设有用于载置多张、例如5张基板即晶圆W的圆形的凹部24。另外,为了便于说明,图3中仅在1个凹部24中画出晶圆W,但并不限于该例子,能够在5个凹部24中分别载置5张晶圆W。在此,图4A、图4B是沿着同心圆切断旋转台2且将其横向展开来表示的展开图,如图4A所示,凹部24的直径比晶圆W的直径稍大例如大4mm,其深度被设定为与晶圆W的厚度相同的大小。因而,在将晶圆W放入凹部24时,晶圆W的表面与旋转台2的表面(未载置晶圆W的区域)一致。在晶圆W的表面与旋转台2的表面之间的高度差较大时,在该高度差部分产生压力变动,因此,从使膜厚的面内均匀性一致这方面考虑,优选使晶圆W的表面与旋转台2的表面高度一致。使晶圆W的表面与旋转台2的表面高度一致是指相同高度或者两面之差在5mm以内,但优选基于加工精度等使两面的高度差尽可能趋近于零。在凹部24的底面上形成有用于供例如后述的3根升降销贯穿的通孔(未图示),该3根升降销用于支承晶圆W的背面而使该晶圆W升降。
凹部24用于对晶圆W进行定位而使晶圆W不会因随着旋转台2的旋转产生的离心力而飞出,凹部24是相当于基板载置区域的部位,基板载置区域(晶圆载置区域)不限于凹部,例如,也可以是在旋转台2的表面沿着晶圆W的周向排列多个用于引导晶圆W周缘的引导构件的构造。或者,在旋转台2侧具有静电吸盘(chuck)等吸盘机构来吸附晶圆W的情况下,利用该吸附来载置晶圆W的区域成为基板载置区域。
如图2及图3所示,在真空容器1内,在分别与旋转台2中的凹部24的通过区域相对的位置,气体注入装置31、反应气体喷嘴32和2根分离气体喷嘴41、42沿真空容器1的周向(旋转台2的旋转方向)互相隔开间隔地自中心部呈放射状延伸。结果,气体注入装置31以沿与旋转台2的旋转方向、即与移动通路交叉的方向延伸的状态配置。这些气体注入装置31、反应气体喷嘴32及分离气体喷嘴41、42例如安装在真空容器1的侧周壁上,作为其基端部的气体供给件31a、32a、41a、42a贯通该侧周壁。
在图示例子中,这些气体注入装置31、反应气体喷嘴32及分离气体喷嘴41、42从真空容器1的侧周壁被导入到真空容器1内,但也可以从后述的环状的突出部5导入。在这种情况下,设置开口于突出部5的外周面和顶板11的外表面的L字形导管,在真空容器1内气体注入装置31(、反应气体喷嘴32、分离气体喷嘴41、42)与L字形导管的一个开口连接,在真空容器1的外部气体供给部31a(、32a、41a、42a)与L字形导管的另一个开口连接。
气体注入装置31及反应气体喷嘴32分别连接于作为第1反应气体的BTBAS(双叔丁基氨基硅烷)气体的气体供给源、及作为第2反应气体的O3(臭氧)气体的气体供给源(均未图示),分离气体喷嘴41、42均连接于作为分离气体的N2气体(氮气)的气体供给源(未图示)。另外,各气体注入装置31、反应气体喷嘴32也连接于N2气体的气体供给源,在成膜装置开始运转时,作为调压用的气体向各处理区域P1、P2供给N2气体。在该例子中,反应气体喷嘴32、分离气体喷嘴41、气体注入装置31及分离气体喷嘴42按该顺序沿顺时针方向排列。
如图4A、图4B所示,在反应气体喷嘴32上,用于向下方侧喷出O3气体的气体喷出口33沿喷嘴的长度方向隔开间隔地排列。而且,在分离气体喷嘴41、42上,用于向下方侧喷出分离气体的喷出孔40沿长度方向隔开间隔地排列。另一方面,供给BTBAS气体的气体注入装置31的详细构造见后述。气体注入装置31、反应气体喷嘴32分别相当于第1反应气体供给部及第2反应气体供给部,气体注入装置31、反应气体喷嘴32的下方区域分别是使BTBAS气体吸附于晶圆W的第1处理区域P1以及使O3气体吸附于晶圆W的第2处理区域P2。
分离气体喷嘴41、42起到为了形成将第1处理区域P1与第2处理区域P2的气氛分离的分离区域D而供给N2气体的作用,如图2~图4B所示,在该分离区域D中的真空容器1的顶板11中设有平面形状为扇形且向下方突出的凸状部4,该凸状部4是沿周向对以旋转台2的旋转中心为中心并沿着真空容器1的内周壁附近画出的圆进行分割而成的。分离气体喷嘴41、42被收纳在自该凸状部4中的上述圆的周向中央沿该圆的径向延伸地形成的槽部43内。即,从分离气体喷嘴41、42的中心轴线到凸状部4的扇形的两缘(旋转方向上游侧的缘及下游侧的缘)的距离被设定为相同的长度。
另外,在本实施方式中,槽部43形成为将凸状部4二等分,但在另一实施方式中,也可以将槽部43形成为,例如从槽部43看来凸状部4中的旋转台2的旋转方向上游侧比上述旋转方向下游侧宽。
因而,在分离气体喷嘴41、42中的上述周向两侧存在作为上述凸状部4的下表面的例如平坦且低的顶面44(第1顶面),在该顶面44的上述周向两侧存在比该顶面44高的顶面45(第2顶面)。该凸状部4的作用在于形成狭窄的空间、即分离空间,该分离空间用于阻止第1反应气体及第2反应气体进入到该凸状部4与旋转台2之间来阻止这些反应气体混合。
即,以分离气体喷嘴41为例,阻止O3气体从旋转台2的旋转方向上游侧进入,而且,阻止BTBAS气体从旋转方向下游侧进入。所谓“阻止气体进入”是指,从分离气体喷嘴41喷出的作为分离气体的N2气体扩散到第1顶面44与旋转台2的表面之间,在该例子中,吹到与该第1顶面44相邻的第2顶面45的下方侧空间,由此,阻止气体从该相邻空间进入。而且,所谓“阻止气体进入”不仅是指,完全阻止从相邻空间进入到凸状部4的下方侧空间的情况,也指即使进入一些,也能确保分别从两侧进入的O3气体及BTBAS气体不在凸状部4的下方侧空间内混合的状态的情况。只要获得这样的作用,就能够发挥分离区域D的作用、即第1处理区域P1的气氛与第2处理区域P2的气氛的分离作用。因而,狭窄空间的狭窄程度被设定为狭窄空间(凸状部4的下方空间)与相邻于该空间的区域(该例子中是第2顶面45的下方空间)之间的压力差能够确保“阻止气体进入”作用那样程度的大小,其具体的尺寸根据凸状部4的面积等不同而不同。另外,吸附于晶圆W的气体当然能够在分离区域D内通过,阻止气体进入是指阻止气相中的气体进入。
另一方面,如图5、图6所示,在顶板11的下表面设有与旋转台2中的芯部21的外周侧部位相对、沿着该芯部21的外周的突出部5。如图5所示,该突出部5与凸状部4中的上述旋转中心侧的部位连续地形成,其下表面形成为与凸状部4的下表面(顶面44)相同的高度。图2及图3在比上述顶面45低且比分离气体喷嘴41、42高的位置将顶板11水平切断来表示。另外,并不限于突出部5与凸状部4必须为一体的,也可以是独立形成的。
凸状部4及分离气体喷嘴41(42)的组合构造的制作方法并不限于在形成凸状部4的1张扇形板的中央形成槽部43而在该槽部43内配置分离气体喷嘴41(42)的构造,也可以使用3张扇形板,在分离气体喷嘴41(42)的两侧位置利用螺栓固定等固定于顶板主体的下表面上的构造等。
在该例子中,分离气体喷嘴41(42)的朝向正下方的例如口径为0.5mm的喷出孔40沿着喷嘴的长度方向例如隔开10mm间隔地排列。而且,反应气体喷嘴32的朝向正下方的例如口径为0.5mm的喷出孔33沿着喷嘴的长度方向例如隔开10mm间隔地排列。
在该例子中,将直径300mm的晶圆W作为被处理基板,在这种情况下,凸状部4在其与距旋转中心例如140mm的后述的突出部5之间的边界部位,其周向的长度(与旋转台2同心的圆的圆弧长度)例如为146mm,在晶圆W的载置区域(凹部24)的最外侧部位,其周向的长度例如为502mm。另外,如图4A所示,在该外侧部位,自分离气体喷嘴41(42)的两侧分别位于左右的凸状部4的周向的长度L为246mm。
另外,如图4B所示,凸状部4的下表面、即顶面44的距旋转台2表面的高度h也可以为例如0.5mm~10mm,优选为大约4mm。在这种情况下,旋转台2的转速被设定为例如1rpm~500rpm。为了确保分离区域D的分离功能,基于旋转台2的转速的使用范围等,例如根据实验等设定凸状部4的大小、凸状部4的下表面(第1顶面44)与旋转台2的表面之间的高度h。另外,作为分离气体,并不限于N2气体,能够采用Ar气体等惰性气体,但并不限于惰性气体,也可以是氢气等,只要是不对成膜处理产生影响的气体,对气体种类没有特别的限定。
真空容器1的顶板11的下表面、即从旋转台2的晶圆载置区域(凹部24)观察到的顶面如上所述那样在周向上存在第1顶面44和比该顶面44高的第2顶面45,但图1表示设有高的顶面45的区域的纵截面,图5表示设有低的顶面44的区域的纵截面。如图2及图5所示,扇形的凸状部4的周缘部(真空容器1的外缘侧部位)与旋转台2的外端面相对地弯曲成L字形而形成弯曲部46。扇形的凸状部4设置在顶板11侧,顶板11构成为能从容器主体12拆下,因此,在上述旋转台2的外端面与弯曲部46的内周面以及弯曲部46的外周面与容器主体12的内周面之间存在微小的间隙。因此,该弯曲部46也出于与凸状部4同样的目的而设置,阻止反应气体从两侧进入,防止两反应气体混合,弯曲部46的内周面与旋转台2的外端面的间隙被设定为例如和顶面44与旋转台2的表面之间的高度h相同的尺寸。即,在该例子中,在从旋转台2的表面侧区域观察的情况下,弯曲部46的内周面构成真空容器1的内周壁。
如图5所示,容器主体12的内周壁在分离区域D中接近上述弯曲部46的外周面而形成为垂直面,但如图1所示,在除分离区域D之外的部位,例如从与旋转台2的外端面相对的部位到底面部14,纵截面形状被切削成矩形而向外方侧凹陷。该凹陷的部位中的、旋转台2的周缘与容器主体12的内周壁之间的间隙分别连通于第1处理区域P1及第2处理区域P2,对被供给到各处理区域P1、P2中的反应气体进行排气。将这些间隙称作排气区域6。如图1及图3所示,在该排气区域6的底部、即旋转台2的下方侧分别形成有第1排气口61及第2排气口62。
这些排气口61、62分别通过排气管63连接于作为真空排气部的例如通用的真空泵64。另外,在图1中,附图标记65是压力调整部,可以分别设置于排气口61、62,也可以通用化。排气口61、62为了可靠地起到分离区域D的分离作用而以俯视时设置在上述分离区域D的上述旋转方向两侧,专用于进行各反应气体(BTBAS气体及O3气体)的排气。在该例子中,一个排气口61设置在气体注入装置31与同该气体注入装置31的上述旋转方向下游侧相邻的分离区域D之间,另一个排气口62设置在反应气体喷嘴32与同该反应气体喷嘴32的上述旋转方向下游侧相邻的分离区域D之间。
排气口的设置数量不限于2个,例如也可以在包括分离气体喷嘴42在内的分离区域D与同该分离区域D的上述旋转方向下游侧相邻的第2反应气体喷嘴32之间还设置排气口而形成为3个,也可以形成为4个。在该例子中,排气口61、62通过设置在比旋转台2低的位置而从真空容器1的内周壁与旋转台2的周缘之间的间隙排气,但其并不限于设置在真空容器1的底面部,也可以设置在真空容器1的侧壁上。另外,排气口61、62在设置于真空容器1的侧壁的情况下,也可以设置在比旋转台2高的位置。通过这样设置排气口61、62,旋转台2上的气体朝向旋转台2的外侧流动,因此,与从旋转台2相对的顶面排气的情况相比,在抑制微粒卷起这方面是有利的。
如图1、图7等所示,在上述旋转台2与真空容器1的底面部14之间的空间中设有作为加热部的加热器单元7,隔着旋转台2而将旋转台2上的晶圆W加热到由工艺制程程序(reciepe)决定的温度。在上述旋转台2的周缘附近的下方侧,为了划分从旋转台2的上方空间到排气区域6的气氛和放置有加热器单元7的气氛而在整个圆周上围着加热器单元7地设有罩构件71。该罩构件71的上缘向外侧弯曲而形成为凸缘形状,减小该弯曲面与旋转台2的下表面之间的间隙,抑制气体从外进入到罩构件71内。
比配置有加热器单元7的空间靠近旋转中心的部位的底面部14接近旋转台2下表面的中心部附近、芯部21,底面部14和芯部21之间成为狭小的空间,而且,贯通该底面部14的旋转轴22的通孔的内周面与旋转轴22之间的间隙也变小,这些狭小的空间与上述壳体20内连通。而且,在上述壳体20中设有用于将作为吹扫气体的N2气体供给到上述狭小的空间内来进行吹扫的吹扫气体供给管72。另外,在真空容器1的底面部14上,在加热器单元7的下方侧位置,在周向的多个部位设有对加热器单元7的配置空间进行吹扫的吹扫气体供给管73。
通过这样设置吹扫气体供给管72、73,如图6中以箭头表示吹扫气体的流动那样,从壳体20内到加热器单元7的配置空间的空间被N2气体吹扫,该吹扫气体从旋转台2与罩构件71之间的间隙经由排气区域6而被排气口61、62排出。由此,能防止BTBAS气体或O3气体从上述第1处理区域P1和第2处理区域P2中的一个经由旋转台2的下方进入到上述第1处理区域P1和第2处理区域P2中的另一个,因此,该吹扫气体也起到分离气体的作用。
另外,在真空容器1的顶板11的中心部连接有分离气体供给管51,向顶板11与芯部21之间的空间52中供给作为分离气体的N2气体。被供给到该空间52的分离气体经由上述突出部5与旋转台2的狭小的间隙50,沿着旋转台2的晶圆载置区域侧的表面而朝向周缘喷出。由于在由该突出部5围成的空间中充满分离气体,因此,防止反应气体(BTBAS气体或O3气体)在第1处理区域P1与第2处理区域P2之间经由旋转台2的中心部混合。即,该成膜装置包括中心部区域C,该中心部区域C为了分离第1处理区域P1和第2处理区域P2的气氛而由旋转台2的旋转中心部和真空容器1划分成,其被分离气体吹扫并沿着上述旋转方向形成有将分离气体喷出到该旋转台2的表面上的喷出口。另外,这里所谓的喷出口相当于上述突出部5与旋转台2之间的狭小的间隙50。
并且,如图2、图3所示,在真空容器1的侧壁形成有用于在外部的输送臂10与旋转台2之间交接晶圆W的输送口15,该输送口15由未图示的闸阀开闭。另外,旋转台2中的作为晶圆载置区域的凹部24在面向该输送口15的位置而在凹部24与输送臂10之间交接晶圆W,因此,在旋转台2的下方侧的与该交接位置相对应的部位设有用于贯通凹部24而从背面抬起晶圆W的交接用的升降销及其升降机构(均未图示)。
在具有以上说明的构成的本实施方式的成膜装置中,对于例如供给O3气体的反应气体喷嘴32,如上所述那样在该喷嘴32的底部隔开间隔地配列有朝向下方设置的喷出孔33。相对于此,例如供给BTBAS气体的气体注入装置31为了减轻上述膜的波动现象而具有以下说明的构造。下面,参照图8~图10B说明该气体注入装置31的详细构造。
如图8~图10B所示,气体注入装置31包括例如石英制的细长的方筒状的注入装置主体311、以及设置在该注入装置主体311侧面上的引导构件315。注入装置主体311的内部为空洞,该空洞构成用于使从设置于注入装置主体311基端部的气体导入管317供给的BTBAS气体流通的气体流路312。如图7所示,注入装置主体311使其基端部侧朝向容器主体12的侧壁侧,在将气体导入管317连接于上述气体供给件31a的状态下配置在真空容器1内,其从旋转台2的表面到注入装置主体311的底面的高度例如为1mm~4mm。气体导入管317在注入装置主体311的连接部开口,该开口部是向气体流路312导入反应气体的导入口。在此,构成注入装置主体311的构件的材料不限于上述石英的例子,例如也可以是陶瓷制的。
如图8、图9及图10A所示,在作为注入装置主体311壁部的侧壁部的一侧、例如从旋转台2的旋转方向看来位于上游侧的侧壁部上,例如口径0.5mm的气体流出孔313沿着注入装置主体311的长度方向隔开例如5mm间隔地排列有多个、例如67个。气体流出孔313将气体流路312内的BTBAS气体沿后述的气体喷出口316所延伸的方向均匀地供给。
在此,本实施方式的注入装置主体311如上所述那样形成为方筒状,优选其设有气体流出孔313的侧壁部为平坦的平坦部分,以垂直于该旋转台的状态设置。在此,该侧壁部垂直于旋转台2并不限于严格地垂直的情况,也包括该侧壁部自相对于旋转台垂直的垂直面带有±5°左右的倾斜地配置的情况。
并且,在排列有这些气体流出孔313的注入装置主体311的侧壁部,与该气体流出孔313相对地固定有引导构件315。引导构件315例如隔着间隙调节构件314固定于上述侧壁部上,这些引导构件315与上述侧壁部被固定成例如互相平行。引导构件315例如为石英制的构件,将从气体流出孔313喷出的BTBAS气体的流动方向引导为设有旋转台2的方向,并且,使该气体的流动分散而防止气体流出孔313被复制到形成的膜上。在此,引导构件315与设有气体流出孔313的侧壁部平行并不限于两构件严格平行地配置的情况,也包括例如引导构件315相对于上述侧壁部带有±5°左右的倾斜地配置的情况。在这种情况下,引导构件315也可以是陶瓷制的。
图10A是拆下引导构件315后的状态的气体注入装置31的侧视图。间隙调节构件314例如是石英制的厚度相等的多个板材,其以围着注入装置主体311的侧壁部的排列有气体流出孔313的区域的方式例如配置在该区域的上方侧和左右侧方侧。在本例子中,间隙调节构件314的厚度例如为0.3mm,引导构件315隔着这些间隙调节构件314、例如利用螺栓固定等固定于注入装置主体311。在此,间隙调节构件314也可以是陶瓷制的。
利用这些构造,如图10B的仰视图所示,例如在上述侧壁部的外表面与引导构件315之间,沿着作为平坦部分的侧壁部的一缘侧形成有将从气体流出孔313喷出的BTBAS气体朝向晶圆W喷出的狭缝状的气体喷出口316。气体注入装置31以使该气体喷出口316朝向旋转台2的状态配置在注入装置主体311内。另外,如上所述,间隙调节构件314的厚度例如为0.3mm,因此,狭缝状的气体喷出口316的宽度也为0.3mm。
并且,如上所述,在利用螺栓固定等的情况下,由于间隙调节构件314、引导构件315自注入装置主体311装卸自如,因此,例如与反应气体的供给量、种类、旋转台2的旋转速度等运转条件的变更相对应地使用厚度不同的间隙调节构件314,也能够调节气体喷出口316的狭缝宽度。另外,如图10A、图10B的右侧区域所图示那样,在能够装卸引导构件315的情况下,在热稳定性、化学稳定性高的例如Kapton(注册商标)制的密封件318堵住气体流出孔313的一部分,或再次将密封件318拆下等较为容易。由此,也能够根据反应气体、运转条件的不同来改变气体流出孔313的配置间隔、或者在气体注入装置31的基端侧和顶端侧使气体流出孔313的配置间隔不同。
返回到整个成膜装置的说明,如图1、图3所示,在本实施方式的成膜装置中设有用于控制整个装置动作的具有计算机的控制部100,在该控制部100的存储器内存储有用于运转装置的程序。该程序为了执行后述的装置动作而编入有步骤群,其由硬盘、磁盘、光磁盘、存储卡、软盘等存储介质安装在控制部100内。
接着,对上述实施方式的成膜装置的作用进行说明。首先,打开未图示的闸阀,利用输送臂10将晶圆从外部经由输送口15而交接到旋转台2的凹部24内。该交接是在凹部24停止在面向输送口15的位置时未图示的升降销从真空容器1的底部侧经由凹部24底面的通孔升降来进行的。然后,一边使旋转台2间歇地旋转、一边交接该晶圆W,将晶圆W分别载置在旋转台2的5个凹部24内。接着,运转真空泵64,将压力调节部65的压力调节阀完全打开而将包括各处理区域P1、P2的空间内抽真空成预先设定的压力,并且,一边使旋转台2顺时针旋转、一边利用加热器单元7加热晶圆W。详细地讲,旋转台2被加热器单元7预先加热到例如300℃,晶圆W通过载置于该旋转台2而被加热。
与该晶圆W的加热动作同时地向真空容器1内供给与在成膜开始之后供给的反应气体、分离气体及与吹扫气体等量的N2气体,调节真空容器1内的压力。例如,从气体注入装置31向真空容器1内供给100sccm这样的量的N2气体,从反应气体喷嘴32向真空容器1内供给10000sccm这样的量的N2气体,从各分离气体喷嘴41、42向真空容器1内供给20000sccm这样的量的N2气体,从分离气体供给管51向真空容器1内供给5000sccm这样的量的N2气体,利用压力调节部65进行压力调节阀的开闭动作,使得各处理区域P1、P2内的压力成为规定的压力设定值、例如1067Pa(8Torr)。另外,此时,也从吹扫气体供给管72、73供给规定量的N2气体。
接着,利用未图示的温度传感器确认了晶圆W的温度成为设定温度,确认了第1、第2处理区域P1、P2的压力分别为设定压力之后,则将从气体注入装置31及反应气体喷嘴32供给的气体分别切换为BTBAS气体及O3气体,开始对晶圆W进行成膜动作。此时,以使供给到真空容器1内的气体的总流量不急剧地变化的方式,慢慢地切换各气体注入装置31、反应气体喷嘴32中的气体即可。
然后,通过旋转台2的旋转而使晶圆W交替通过第1处理区域P1和第2处理区域P2,因此,BTBAS气体吸附在各晶圆W上,接着,O3气体被吸附,BTBAS分子被氧化,形成有1层或多层氧化硅的分子层,这样,氧化硅的分子层依次层叠而形成规定膜厚的氧化硅膜。
此时,详细说明从气体注入装置31供给的BTBAS气体的状态,从气体导入管317供给的BTBAS气体从注入装置主体311的基端侧向顶端侧在气体流路312内流动,并且,从设置在注入装置主体311的侧壁部的各气体流出孔313流出。此时,由于在与各气体流出孔313相对的位置设有引导构件315,因此,例如图8所示,从各气体流出孔313喷出的BTBAS气体被引导成流动方向朝下,朝向狭缝状的气体喷出口316流动。
此时,由于从气体流出孔313喷出的BTBAS气体与引导构件315碰撞而改变流动方向,因此,如图9示意性地表示,例如该气体在与引导构件315碰撞时沿着狭缝状的气体喷出口316所延伸的方向左右扩展,之后向下流动。如上所述,由于气体流出孔313在注入装置主体311的长度方向上互相相邻地排列,因此,从各气体流出孔313喷出的气体的流动在与引导构件315碰撞而左右展开时,在气体注入装置31的长度方向上互相混合的同时、流动。这样,在气体注入装置31的长度方向上气体浓度均匀化的同时,该气体流动到狭缝状的气体喷出口316,成为细长的带状流而被供给到处理区域P1。
这样,由于BTBAS气体在气体注入装置31的长度方向上混合的同时、被供给到处理区域P1,因此,与使用上述参考例的喷嘴供给该气体的情况相比,其能够以浓淡差较小的状态到达通过处理区域P1的晶圆W表面。结果,例如即使在旋转台2的旋转速度较高、在反应气体吸附于晶圆W的状态达到平衡之前晶圆W通过处理区域P的情况下,BTBAS气体也在配置有气体流出孔313的位置与气体流出孔313之间的位置之间以浓淡差较小的状态吸附于晶圆W表面,与参考例的喷嘴相比,能够形成波动较少的膜。
另外,由于BTBAS气体通过例如口径为0.5mm的较小的气体流出孔313而被供给到狭缝状的气体喷出口316,因此,注入装置主体311内的从气体流路312向该气体喷出口316流出时的流速较小。因此,像参考例那样,也能够以例如减少上述波动现象为目的,抑制在参考例的气体喷嘴的底面设有狭缝的情况所产生的现象、即、在通过狭缝时作用于BTBAS的流速变大,在喷嘴的顶端侧和基端侧产生较大的浓度差,产生例如形成的膜的膜厚在气体喷嘴所延伸的方向上基端侧较厚而顶端侧较薄这样的现象。
接着,说明整个真空容器1内的气体的流动,从与顶板11的中心部连接的分离气体供给管51供给作为分离气体的N2气体,由此,从中心部区域C、即从突出部5与旋转台2的中心部之间沿着旋转台2的表面喷出N2气体。在该例子中,在沿着配置有气体注入装置31、反应气体喷嘴32的第2顶面45的下方侧空间的容器主体12的内周壁中,如上所述,内周壁被切削而变宽,由于排气口61、62位于该较宽的空间下方,因此,第2顶面45下方侧的空间压力比第1顶面44下方侧的狭窄的空间及上述中心部区域C的各压力低。图11示意性地表示在从各部位喷出气体时的气体流动的状态。从反应气体喷嘴32向下方侧喷出的、碰到旋转台2的表面(晶圆W的表面及晶圆W的非载置区域的表面这两者)而沿着该表面朝向旋转方向上游侧去的O3气体被从该上游侧流过来的N2气体吹回、并且流入到旋转台2的周缘与真空容器1的内周壁之间的排气区域6中,从排气口62被排出。
另外,从反应气体喷嘴32向下方侧喷出的、碰到旋转台2的表面而沿着该表面朝向旋转方向下游侧去的O3气体利用从中心部区域C喷出的N2气体的流动和排气口62的吸引作用而欲朝向该排气口62去,其一部分朝向与下游侧相邻的分离区域D,欲向扇形的凸状部4的下方侧流入。但是,由于该凸状部4的顶面44的高度及周向的长度在包含各气体流量等运转时的工艺参数中被设定为能够防止气体进入到该顶面44的下方侧的尺寸,因此,也如图4B所示,O3气体几乎不流入到扇形的凸状部4的下方侧、或者即使流入一些,也无法到达分离气体喷嘴41附近,被从分离气体喷嘴41喷出的N2气体吹回到旋转方向上游侧、即处理区域P2侧,与从中心部区域C喷出的N2气体一起从旋转台2的周缘与真空容器1的内周壁之间的间隙经由排气区域6被排气口62排出。
另外,从气体注入装置31向下方侧供给的、沿着旋转台2的表面分别朝向旋转方向上游侧及下游侧去的BTBAS气体完全无法进入到相邻于其旋转方向上游侧及下游侧的扇形的凸状部4的下方侧、或者即使进入也被吹回到第2处理区域P2侧,与从中心部区域C喷出的N2气体一起从旋转台2的周缘与真空容器1的内周壁之间的间隙经由排气区域6被排气口61排出。即,在各分离区域D中,阻止在气氛中流动的作为反应气体的BTBAS气体或O3气体进入,但吸附于晶圆的气体分子保持原样地通过分离区域、即扇形的凸状部4的低的顶面44的下方,有助于成膜。
这样从气体注入装置31供给的BTBAS气体随着在周围流动的N2气体流动而向排气口61排气,例如,在BTBAS气体的流动方向相对于旋转台2带有较大倾斜地被供给的情况下,BTBAS气体易于被在周围流动的N2气体的流动卷起,也存在未到达晶圆W的表面而被排出的情况,也有可能导致成膜速度降低。
在这一点上,如图8所示,例如本实施方式的气体注入装置31由于设有气体流出孔313的注入装置主体311的侧壁部与旋转台2垂直地配置,并且,引导构件315与该侧壁部平行地配置,因此,通过形成在引导构件315与该侧壁部之间的气体喷出口316而供给到处理区域P1的带状的BTBAS气体的流动也与旋转台2垂直地被供给。结果,气体注入装置31的从气体喷出口316到旋转台2的距离最短,而且,作用于流出该开口部后的BTBAS气体的流动的惯性力中,欲朝向旋转台2的垂直方向的力最大,因此,与以相对于旋转台2倾斜的方向供给的情况相比,BTBAS气体以难以被周围的N2气体流动卷起的状态被供给到处理区域P1。
返回到整个真空容器1的气体的流动的说明,第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)欲进入到中心部区域C内,但如图6及图11所示,由于分离气体被从该中心部区域C朝向旋转台2的周缘喷出,因此,该分离气体的进入被阻止,或者即使进入一些也被吹回,被阻止通过该中心部区域C而流入到第2处理区域P2(第1处理区域P1),
而且,在分离区域D中,扇形的凸状部4的周缘部向下方弯曲,弯曲部46与旋转台2的外端面之间的间隙如上所述那样变小而实质上阻止气体通过,因此,第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)也被阻止经由旋转台2的外侧而流入到第2处理区域P2(第1处理区域P1)。因而,利用2个分离区域D将第1处理区域P1的气氛与第2处理区域P2的气氛完全分离,BTBAS气体被排气口61排出,O3气体被排气口62排出。结果,两种反应气体、在该例子中是BTBAS气体及O3气体无论是在气氛中还是在晶圆上都不会混合。另外,在该例子中,由于利用N2气体对旋转台2的下方侧进行吹扫,因此,完全不用担心流入到排气区域6的气体通过旋转台2的下方侧,例如BTBAS气体流入到O3气体的供给区域。在这样完成成膜处理时,各晶圆利用与搬入动作相反的动作依次被输送臂10搬出。
在此,记载处理参数的一个例子,在将300mm直径的晶圆W作为被处理基板时,旋转台2的转速例如为1rpm~500rpm,工艺压力例如为1067Pa(8Torr),晶圆W的加热温度例如为350℃,BTBAS气体及O3气体的流量例如分别为100sccm及10000sccm,来自分离气体喷嘴41、42的N2气体的流量例如为20000sccm,来自真空容器1的中心部的分离气体供给管51的N2气体的流量例如为5000sccm。另外,对于1张晶圆供给反应气体的循环数、即晶圆W分别通过处理区域P1、P2的次数根据目标膜厚而变化,但为多次、例如6000次。
采用上述实施方式,存在以下效果。利用引导构件315来引导从设置在构成气体注入装置31的注入装置主体311的侧壁部的多个气体流出孔313喷出的BTBAS气体,通过沿着注入装置主体311的长度方向延伸的狭缝状的气体喷出口316供给反应气体,因此,在利用引导构件315引导时,能够使该反应气体沿着狭缝所延伸的方向分散。结果,在从气体注入装置31向载置在旋转台2的载置区域上的晶圆W供给反应气体而使反应气体吸附于晶圆W表面的本实施方式的成膜装置中,能够沿注入装置主体311所延伸的方向供给均匀浓度的气体。由此,与应用向晶圆W直接喷射从设置于注入装置主体的壁部的气体流出孔喷出的气体的类型的气体注入装置的情况相比,抑制产生在设有该气体流出孔的区域和除此之外的区域吸附于基板上的气体量不同这样的不良情况,能够形成均匀的膜。
另外,在使BTBAS气体与引导构件315碰撞而引导时,通过沿注入装置主体311所延伸的方向排列的气体流出孔313使该气体流出。这样的气体流出孔313例如与狭缝等相比,气体流速较小,因此,也能够抑制例如在靠近BTBAS气体的供给源的气体注入装置31的基端侧与距供给源较远的顶端侧之间产生浓度差,产生形成的膜的膜厚在气体注入装置31所延伸的方向上基端侧较厚而顶端侧较薄这样的不良情况。
并且,气体注入装置31的设有气体流出孔313的注入装置主体311的侧壁部与旋转台2垂直地配置,并且,引导构件315与该侧壁部平行地配置,因此,BTBAS气体的流动也与旋转台2垂直地被供给。结果,与在相对于旋转台2倾斜的方向上供给的情况相比,将BTBAS气体以难以被周围的N2气体流动卷起的状态供给到处理区域P1,能够将BTBAS气体高效地吸附于晶圆W表面。
此外,对于本实施方式的气体注入装置31,由于引导构件315、间隙调节构件314构成为相对于注入装置主体311装卸自如,因此,容易进行拆下引导构件315、在气体流出孔313的一部分粘贴密封件318等来改变气体流出孔313的配置间隔、或者改变间隙调节构件314的厚度来改变气体喷出口316的狭缝的宽度等气体注入装置31的改造,能够提高BTBAS气体的供给条件的灵活性。
另外,本成膜装置沿旋转台2的旋转方向配置多个晶圆W,因此,使旋转台2旋转而按顺序通过第1处理区域P1和第2处理区域P2来进行所谓的ALD(或者MLD),因此,与采用在背景技术中说明的单片式成膜装置的情况相比,不需要吹扫反应气体的时间,能够以较高的生产率进行成膜处理。
接着,说明另一实施方式的气体注入装置31a。由于应用该另一实施方式的气体注入装置31a的成膜装置与使用图1~图7说明的成膜装置相同,因此省略再次说明。另外,对起到与使用图8~图10说明的气体注入装置31相同效果的构成要件标注与它们相同的附图标记。
如图12、图13所示,另一实施方式的气体注入装置31a在由圆筒状的构件构成注入装置主体311这点、和由截面为圆弧状的构件构成引导构件315这点与在方筒状的注入装置主体311中设有平板状的引导构件315的上述实施方式的气体注入装置31不同。
在本例子中,例如在石英制的圆管状的注入装置主体311的侧壁部,例如口径为0.5mm的气体流出孔313沿着注入装置主体311的长度方向隔开例如10mm间隔地排列有多个、例如34个。而且,引导构件315是例如利用焊接将纵剖侧面为圆弧状的构件的沿长度方向延伸的一条边沿着注入装置主体311的外表面固定而成的构造、即,引导构件315的截面沿着注入装置主体311的外表面形成为圆弧状,该纵剖侧面为圆弧状的构件是沿径向切开例如直径大于注入装置主体311的圆筒而获得的。
在设有气体流出孔313的注入装置主体311的壁部、即侧壁部的外表面与引导构件315之间形成有喷出BTBAS气体的狭缝状的气体喷出口316,如图13所示,例如从气体流出孔313喷出的BTBAS气体与引导构件315碰撞而左右扩展、并且向下方流动,该BTBAS气体在气体注入装置31a的长度方向上混合的同时、经由气体喷出口316被供给到处理区域P1。结果,在该另一实施方式的气体注入装置31a中,与以往类型的喷嘴相比能够以浓淡差小的状态向处理区域P1供给BTBAS气体,从而能够形成波动较少的膜。
另外,在本例子中,气体注入装置31a也从气体流路312将BTBAS气体经由流速较小的气体流出孔313供给,因此,例如出于降低波动现象的目的,与像参考例那样在气体喷嘴的底面设有流速较大的狭缝的情况相比,气体注入装置31a的顶端侧与基端侧的浓度差较小,能够在该基端侧与顶端侧之间形成均匀厚度的膜。
在此,在本实施方式的气体注入装置31a中,如图12所示,从下方侧观察到的狭缝状的气体喷出口316的宽度例如为2mm,该开口宽度能够通过改变将引导构件315固定于注入装置主体311时的角度、注入装置主体311与引导构件315的直径之差来调节。如图12所示,从气体注入装置31a供给的BTBAS气体在气体喷出口316所开口的方向上带有倾斜地被供给到处理区域P1。因此,除了从气体喷出口316到达旋转台2的距离变长之外,对BTBAS气体的流动施加横向的惯性力,因此,与图9等中所述的气体注入装置31相比易于被周围的N2气体的流动卷起。在这一点上,图9等中所述的气体注入装置31向晶圆W供给BTBAS气体时的效率较佳。另外,利用间隙调整构件314调整开口部的开口宽度的上述气体注入装置31也具有开口宽度的调整等简便这样的优点。
在以上说明的各实施方式的气体注入装置31、31a中,对将适用于供给作为反应气体的BTBAS气体的第1反应气体供给部的情况进行了说明,但能够应用该气体注入装置31、31a的气体不限于此,例如,也可以将这些气体注入装置31、31a适用于第2气体供给部来供给作为第2反应气体的O3气体。
另外,例如图4A、图4B所示,在上述各实施方式中表示了将气体喷出口316配置在旋转台2的旋转方向上游侧的例子,该气体喷出口316的配置位置也并不限于这些实施方式中所示的方式。例如,也可以与图8所示的例子左右对称地配置配置气体流出孔313的侧壁部、间隙调整构件314及引导构件315而构成气体注入装置31,将气体喷出口316配置在旋转台2的旋转方向下游侧。
作为本实施方式所应用的反应气体,除上述例子之外还能够列举出DCS[二氯硅烷]、HCD[六氯乙硅烷]、TMA[三甲基铝]、3DMAS[三(二甲氨基)硅烷]、TEMAZ(四(二乙基氨基)锆)、TEMHF(四-(乙基甲基胺基酸)-铪)、Sr(THD)2[(甲基戊二酮酸)双(四甲基庚二酮酸)钛]、Ti(MPD)(THD)[(甲基庚二酮酸)双(四甲基庚二酮酸)钛]、单氨基硅烷等。
而且,在像图14A、图14B中以上述分离气体喷嘴41为代表地表示那样将例如300mm直径的晶圆W作为被处理基板的情况下,形成分别位于上述分离气体喷嘴41(42)的两侧的狭窄的空间的上述第1顶面44在晶圆W的中心WO通过的部位沿着旋转台2的旋转方向的宽度尺寸L优选为50mm以上。为了有效地阻止反应气体从凸状部4的两侧进入到该凸状部4的下方(狭窄的空间),在上述宽度尺寸L较小的情况下,与此相对应地也需要减小第1顶面44与旋转台2之间的距离。并且,在将第1顶面44与旋转台2之间的距离设定为一定尺寸时,越远离旋转台2的旋转中心,旋转台2的速度越快,因此,越远离旋转中心,获得阻止反应气体进入的效果所需要的宽度尺寸L越长。从这方面考虑,在晶圆W的中心WO所通过的部位中的上述宽度尺寸L小于50mm时,需要使第1顶面44与旋转台2的距离相当小,因此,为了防止使旋转台2旋转时旋转台2或者晶圆W碰到顶面44,要想办法极力抑制旋转台2的振动。并且,旋转台2的转速越高,反应气体越易于从凸状部4的上游侧进入到该凸状部4的下方侧,因此,在上述宽度尺寸L小于50mm时,必须降低旋转台2的转速,在生产率的方面不是上策。因而,宽度尺寸L优选为50mm以上,但即使在50mm以下,也不能说无法获得本发明的效果。即,上述宽度尺寸L优选为晶圆W的直径的1/10~1/1,更优选为约1/6以上。另外,为了便于图示,在图14A中省略凹部24的记载。
在此,对于处理区域P1、P2及分离区域D的各布局列举除上述实施方式之外的例子。图15是使供给O3气体的反应气体喷嘴32比输送口15更位于旋转台2的旋转方向上游侧的例子,即使是这样的布局也能获得同样的效果。
另外,本实施方式的气体注入装置31、31a(图16中仅表示气体注入装置31)也能够适用于以下构造的成膜装置。即,为了在分离气体喷嘴41(42)两侧形成狭窄的空间而需要设置低的顶面(第1顶面)44,但如图16所示,在气体注入装置31、31a(反应气体喷嘴32)的两侧也设置同样的低的顶面,即使是使这些顶面连续的构造、即除了设有分离气体喷嘴41(42)及气体注入装置31、31a(反应气体喷嘴32)的部位之外在与旋转台2相对的整个区域中设置凸状部4的构造,也能获得同样的效果。在该构造中,从另外的观点来看,分离气体喷嘴41(42)的两侧的第1顶面44扩展到气体注入装置31、31a(反应气体喷嘴32)。在这种情况下,分离气体扩散到分离气体喷嘴41(42)的两侧,反应气体扩散到气体注入装置31、31a(反应气体喷嘴32)的两侧,两种气体在凸状部4的下方侧(狭窄的空间)汇合,但这些气体被从位于气体注入装置31、31a(反应气体喷嘴32)与分离气体喷嘴41(42)之间的排气口61(62)排出。
在以上实施方式中,旋转台2的旋转轴22位于真空容器1的中心部,向旋转台2的中心部与真空容器1的上表面部之间的空间中吹扫分离气体,但能够应用本实施方式的气体注入装置31、31a的成膜装置也可以例如图17所示那样构成。在图17的成膜装置中,真空容器1的中央区域的底面部14向下方侧突出而形成驱动部的收容空间80,并且,在真空容器1的中央区域的上表面形成有凹部80a,在真空容器1的中心部,支柱81介于收容空间80的底部与真空容器1的上述凹部80a的上表面之间,防止来自气体注入装置31的BTBAS气体与来自反应气体喷嘴32的O3气体经由上述中心部混合。
对于使旋转台2旋转的机构,以围着支柱81的方式设置旋转套筒82,沿着该旋转套筒82设置环状的旋转台2。而且,在上述收容空间80中设置利用电动机83驱动的驱动齿轮部84,利用该驱动齿轮部84,借助于形成在旋转套筒82的下部外周的齿轮部85使该旋转套筒82旋转。附图标记86、87及88是轴承部。另外,在上述收容空间80的底部连接吹扫气体供给管74,并且,将用于向上述凹部80a的侧面与旋转套筒82的上端部之间的空间中供给吹扫气体的吹扫气体供给管75连接于真空容器1的上部。在图17中,向上述凹部80a的侧面与旋转套筒82的上端部之间的空间中供给吹扫气体的开口部记载有左右2处,但为了使BTBAS气体与O3气体不经由旋转套筒82的附近区域混合,优选对开口部(吹扫气体供给口)的排列数量进行设计。
在图17的实施方式中,从旋转台2侧看时,上述凹部80a的侧面与旋转套筒82的上端部之间的空间相当于分离气体喷出孔,于是,由该分离气体喷出孔、旋转套筒82及支柱81构成位于真空容器1的中心部的中心部区域。
如图18示出了采用上述成膜装置的基板处理装置。在图18中,附图标记101是例如容纳25张晶圆W的、被称作前开式晶圆传送盒的密闭型的输送容器,附图标记102是配置有输送臂103的大气输送室,附图标记104、105是能够在大气气氛与真空气氛之间切换气氛的加载互锁真空室(预备真空室),附图标记106是配置有2台输送臂107的真空输送室,附图标记108、109是本发明的成膜装置。输送容器101从外部被输送到具有未图示的载置台的搬入搬出部,在输送容器101与大气输送室102连接之后,利用未图示的开闭机构打开盖而利用输送壁103从输送容器101内取出晶圆W。接着,晶圆W被搬入到加载互锁真空室104(105)内,将该室内由大气气氛切换为真空气氛,之后,由输送壁107取出晶圆W而将其搬入到成膜装置108、109中的一个,进行上述成膜处理。这样,例如通过具有多个、例如2个5张处理用的本发明的成膜装置,能够以高的生产率实施所谓的ALD(MLD)。
实施例
模拟
制作旋转台式成膜装置模型,应用具有各种形状的反应气体供给部来确认所供给的气体的浓度分布。如图19所示,成膜装置模型构成为例如在包括图3所示的第1处理区域P1在内的、由2个凸状部4围成的扇形空间中配置了旋转台2、第1反应气体供给部及第1排气口61。第1反应气体供给部配置在图19所示的扇形空间的周向的中间位置,排气口61相对于第1反应气体供给部而配置在旋转台2的旋转方向下游侧、即该旋转台2的外周位置、下方侧。该扇形空间的内周长度L1、外周长度L2、径向长度R、从旋转台2的上表面到图19中未图示的顶面45(第2顶面)的高度等模型空间的尺寸与实际的成膜装置相同,而且,对于来自各反应气体供给部的BTBAS气体的供给量、从上游、下游侧供给到该扇形空间内的N2气体的流量、旋转台2的旋转速度、空间内的工艺压力等,也作为处理参数设定在例示的上述参数范围内。
A.模拟条件
实施例1
作为第1反应气体供给部,设置具有与图8~图10的实施方式中所示的气体注入装置相同的构造的气体注入装置31,对该气体注入装置31正下方的BTBAS气体的浓度分布进行了模拟。图20A示意性地表示模拟所采用的气体注入装置31的纵剖侧视图。另外,气体注入装置31的设计条件如下所述。
气体流出孔313的口径:0.5mm
气体流出孔313的中心之间的间隔:5.0mm
气体流出孔313的配置个数:67个
气体喷出口316的狭缝宽度:0.3mm
从旋转台2上表面(晶圆W表面)到气体喷出口316的高度H1:4mm
实施例2
作为第1反应气体供给部,设置具有与图12、图13的另一实施方式中所示的气体注入装置相同的构造的气体注入装置31a,对该气体注入装置31a正下方的BTBAS气体的浓度分布进行了模拟。图20B示意性地表示模拟所采用的气体注入装置31a的纵剖侧视图。另外,气体注入装置31a的设计条件如下所述。
气体流出孔313的口径:0.5mm
气体流出孔313的中心之间的间隔:10mm
气体流出孔313的配置个数:32个
气体喷出口316的狭缝宽度:2.0mm
从旋转台2上表面(晶圆W表面)到气体喷出口316的高度H1:4mm
比较例1
作为第1反应气体供给部,设置图20C所示的参考例的反应气体喷嘴91,对该反应气体喷嘴91正下方的BTBAS气体的浓度分布进行了模拟。反应气体喷嘴91例如具有与实施方式中使用图2、图3等说明的O3气体供给用的反应气体喷嘴32大致相同的构造,成为在圆筒状的反应气体喷嘴91的底面沿喷嘴的长度方向隔开间隔地排列气体流出孔93而成的构造。另外,其设计条件如下所述。
气体流出孔93的口径:0.5mm
气体流出孔93的中心之间的间隔:10mm
气体流出孔93的配置个数:32个
从旋转台2上表面(晶圆W表面)到气体流出孔93的高度H1:4mm
比较例2
作为第1反应气体供给部,设置图20D所示的反应气体喷嘴92,对该反应气体喷嘴92正下方的BTBAS气体的浓度分布进行了模拟。反应气体喷嘴92在从基端侧看来使(比较例1)的反应气体喷嘴91逆时针旋转90°、如图20所示那样使气体流出孔93的朝向朝着旋转台2的旋转方向上游侧这一点上与(比较例1)不同。另外,反应气体喷嘴92的设计条件如下所述。
气体流出孔93的口径:0.5mm
气体流出孔93的中心之间的间隔:10mm
气体流出孔93的配置个数:32个
从旋转台2上表面(晶圆W表面)到气体流出孔93的高度H1:4mm
B.模拟结果
图21表示各实施例、比较例的BTBAS气体的浓度分布。图21的横轴表示距旋转台2的中心侧的距离[mm],将通过上述反应气体供给部(气体注入装置31、31a、反应气体喷嘴91、92)的下方的直径300mm的晶圆W的、相当于旋转台2的中心侧最内端的位置表示为0mm,将相当于旋转台2的外周侧外端的位置表示为300mm。而且,图21的纵轴表示各反应气体供给部(气体注入装置31、31a、反应气体喷嘴91、92)的正下方的旋转台2的上表面、即晶圆W表面上的反应气体(BTBAS)浓度[%]。在该图中,(实施例1)的结果以粗实线表示,(实施例2)的结果以细实线表示。而且,(比较例1)的结果以虚线表示,(比较例2)的结果以单点划线表示。
根据图21中以粗实线所示的(实施例1)结果,在被供给到晶圆W表面的反应气体浓度分布中未发现在后述的(比较例1)中发现的较大的波动现象。但是,在该(实施例1)的模拟结果中,被供给到晶圆W表面的反应气体浓度从旋转台2的中心侧朝向周缘侧而平缓地减小,描画出相对于图21右端下沉的趋势线。其原因在于,由于作为模拟条件而使旋转台2旋转,因此,在快速旋转的旋转台2的周缘侧,该旋转台2的每单位时间的移动距离变长。结果,由于反应气体在短时间内被输送至较远处,因此,气体浓度变低。相对于此,一般认为由于在慢速旋转的旋转台2的中心侧,与周缘侧相比气体被输送的距离较短,因此,气体浓度成为较高的状态。
另外,如图19所示,一般认为由于将第1排气口61配置在旋转台2的外周位置、下方侧,因此也会有在靠近该排气口61的旋转台2的周缘侧排出从气体注入装置31供给来的气体的力变强、在距该排气口62较远的旋转台2的中心侧排出气体的力变弱的影响。例如图10A、图10B所示,这样的浓度分布通过在反应气体的供给浓度变高的区域由密封件318等堵住气体流出孔313的一部分而扩大气体流出孔313的配置间隔等,能够调整为被供给到旋转台2的中心侧和外周侧的反应气体的浓度分布一致。在此,也能在(实施例2)及(比较例1、2)中确认到被供给到晶圆W表面的反应气体的浓度分布朝着图21而右端下沉的现象,一般认为其原因与上述(实施例1)中说明的理由相同。
另外,根据(实施例1)的模拟结果,与后述的(实施例2)及(比较例2)相比,在气体注入装置31正下方的大致整个区域中,被供给到晶圆W表面的反应气体的浓度变高。一般认为原因在于,例如像使用图8说明的那样,由于在气体注入装置31的气体喷出口316喷出来的反应气体朝向晶圆W大致垂直地供给,因此,与像(实施例2)、(比较例2)那样带有倾斜地被供给的情况相比,反应气体以更加难以被在周围流动的N2气体卷起的状态被供给。在这一点上,(实施例1)的气体注入装置31即使是例如100sccm这样的较少量的供给量,也能够向晶圆W表面高效地供给反应气体,与其他例子相比,能够加快成膜速度。在此,垂直向下地形成有气体流出孔93的(比较例1)无法与该(实施例1)简单地比较将反应气体容易被周围流动的N2气体卷起的容易程度。但是,像后述那样,由于(比较例1)会导致被供给到晶圆W表面的反应气体的波动现象,因此,从形成均匀膜厚的膜的方面考虑,(实施例1)的气体注入装置31较佳。
接着,根据图21中细实线所示的(实施例2)的模拟结果,在本例子中,在被供给到晶圆W表面的反应气体浓度分布中也未发现在后述的(比较例1)中发现的较大的波动现象。另一方面,在该反应气体浓度分布中,发现了反应气体浓度从旋转台2的中心侧朝向周缘侧而以右端下沉状平缓减少的与(实施例1)相同的现象。像已经在(实施例1)中研究的那样,该现象一般认为是由中心侧与周缘侧之间的旋转台2每单位时间的移动距离的差异、排气口61的配置位置引起的,通过由密封件318等堵住气体流出孔313的一部分而扩大气体流出孔313的配置间隔等,能够调整为使反应气体的浓度分布一致。
另外,被供给到晶圆W表面的反应气体的浓度成为在气体注入装置31a正下方的大致整个区域中低于(实施例1)、高于(比较例2)的结果。一般认为其原因在于,例如像使用图12说明的那样,通过反应气体相对于气体喷出口316所开口的方向带有倾斜地被供给到处理区域P1,因是否易于被N2气体的流动卷起的差异,与向垂直方向下方被供给的(实施例1)相比更易于被卷起,与朝向反应气体喷嘴92的侧方被供给的(比较例2)相比更难以被卷起。
与上述各实施例相比,根据图21中虚线所示的(比较例1)的模拟结果确认到,对于被供给到反应气体喷嘴91正下方的晶圆W表面的反应气体的浓度,相对于图21的横轴在几%~十几%的浓度范围内以锯齿状较大地变化的波动现象。该浓度分布中的反应气体浓度极大的位置、即作为各锯齿顶点的位置与在反应气体喷嘴91上配置各气体流出孔93的位置相对应,证明是易于复制这些气体流出孔93的配置状态的反应气体浓度分布。而且,在另外进行的实验结果中,也确认到在使用与(比较例1)相同的气体流出孔93来形成的膜中形成有与气体流出孔93的配置位置相对应的凹凸。
接着,根据图21中单点划线所示的(比较例2)的模拟结果,通过以反应气体的吹出方向为横向,未确认到(比较例1)中观察到的反应气体浓度的波动现象。但是,对与被供给到晶圆W表面的反应气体的浓度,与(实施例1、2)中的任一个相比(比较例2)均变低。其原因在于,由于反应气体的吹出方向为横向,因此,该反应气体处于最易于被N2气体的流动卷起的状态,与这些实施例相比可以说是成膜速度慢的反应气体的供给方式。
由以上研究的结果可知,也如(实施例1、2)的模拟结果所示,使从气体流出孔313喷出的反应气体与设置在与该气体流出孔313相对的位置的引导构件315碰撞之后将该反应气体供给到处理区域P1的实施方式的气体注入装置31、31a,与(比较例1、2)的反应气体喷嘴91、92相比能够形成更均匀厚度的膜,而且,与(比较例2)相比能够提高成膜速度。

Claims (12)

1.一种气体注入装置,其中,
包括:
注入装置主体,具有气体导入口及气体流路;
多个气体流出孔,沿着注入装置主体的长度方向排列在注入装置主体的壁部;
引导构件,被设置成在该引导构件与注入装置主体的外表面之间形成有沿着该注入装置主体的长度方向延伸的狭缝状的气体喷出口,将从气体流出孔流出的气体引导到气体喷出口,
注入装置主体的壁部具有平坦部分,在平坦部分具有多个气体流出孔,狭缝状的气体喷出口位于平坦部分的一缘侧,
引导构件与平坦部分平行。
2.根据权利要求1所述的气体注入装置,其中,
注入装置主体为方筒状。
3.一种成膜装置,该成膜装置反复进行在真空容器内将互相反应的至少2种反应气体按顺序供给到基板的表面上的供给循环,在基板表面层叠多层反应生成物层而形成薄膜,其中,
包括:
真空容器内的旋转台;
基板载置区域,将基板载置于旋转台上;
第1反应气体供给部及第2反应气体供给部,在旋转台的旋转方向上互相分开地设置,第1反应气体供给部用于向旋转台的基板载置区域侧的面供给第1反应气体,第2反应气体供给部用于向旋转台的基板载置区域侧的面供给第2反应气体;
分离区域,用于分离被供给第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域的气氛,在旋转台的旋转方向上位于第1处理区域与第2处理区域之间,具有供给分离气体的分离气体供给部;
排气口,对真空容器内进行真空排气;
第1反应气体供给部及第2反应气体供给部中至少一方是权利要求1所述的气体注入装置,气体注入装置在与旋转台的旋转方向交叉的方向上延伸,气体注入装置的气体喷出口与旋转台相对。
4.根据权利要求3所述的成膜装置,其中,
在真空容器内的中心部具有中心部区域,该中心部区域用于分离第1处理区域和第2处理区域的气氛,并具有向旋转台的基板载置面侧喷出分离气体的分离气体喷出孔;
排气口用于排出扩散到分离区域的两侧的分离气体以及从中心部区域喷出的分离气体和反应气体。
5.根据权利要求4所述的成膜装置,其中,
中心部区域是由旋转台的旋转中心部和真空容器的上表面侧划分而成的,被分离气体吹扫。
6.根据权利要求4所述的成膜装置,其中,
中心部区域包括:在真空容器的中心部设置在上表面与底面之间的支柱;以及围着支柱且绕铅直轴线旋转自如的旋转套筒;
旋转套筒是旋转台的旋转轴。
7.根据权利要求3所述的成膜装置,其中,
分离区域具有顶面,该顶面位于分离气体供给部的旋转方向的两侧,在该顶面与旋转台之间形成供分离气体从分离区域向第1及第2处理区域的方向流动的狭窄的空间。
8.根据权利要求3所述的成膜装置,其中,
排气口经由旋转台的周缘与真空容器的内周壁之间的间隙而排气。
9.根据权利要求3所述的成膜装置,其中,
分离气体供给部具有从旋转台的旋转中心部及周缘部中的一方朝向另一方排列的喷出孔。
10.根据权利要求3所述的成膜装置,其中,
排气口设置在分离区域的旋转方向两侧,专用于排出各反应气体。
11.根据权利要求3所述的成膜装置,其中,
分离区域的顶面的真空容器的外缘侧部位是与旋转台的外端面相对地弯曲的真空容器的内周壁的一部分,顶面的弯曲的部位与旋转台的外端面之间的间隙具有防止反应气体进入的尺寸。
12.根据权利要求3所述的成膜装置,其中,
在分离区域的顶面中,相对于分离气体供给部而处于旋转台的旋转方向上游侧的部位越靠近外缘,旋转方向的宽度越大。
CN2009101783402A 2008-11-10 2009-11-09 气体注入装置及成膜装置 Active CN101736319B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008288136A JP5062144B2 (ja) 2008-11-10 2008-11-10 ガスインジェクター
JP2008-288136 2008-11-10

Publications (2)

Publication Number Publication Date
CN101736319A CN101736319A (zh) 2010-06-16
CN101736319B true CN101736319B (zh) 2013-06-19

Family

ID=42164029

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101783402A Active CN101736319B (zh) 2008-11-10 2009-11-09 气体注入装置及成膜装置

Country Status (5)

Country Link
US (1) US20100116210A1 (zh)
JP (1) JP5062144B2 (zh)
KR (1) KR101624352B1 (zh)
CN (1) CN101736319B (zh)
TW (1) TWI486482B (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
KR20140014215A (ko) * 2011-03-04 2014-02-05 카롤 포드매져스키 액체 포옴 생산 방법 및 장치
JP5630393B2 (ja) * 2011-07-21 2014-11-26 東京エレクトロン株式会社 成膜装置及び基板処理装置
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
KR101412643B1 (ko) * 2012-06-29 2014-07-08 주식회사 티지오테크 복수의 가스를 공급하기 위한 가스 공급부 및 그 제조방법
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2015185757A (ja) * 2014-03-25 2015-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP6243290B2 (ja) * 2014-05-01 2017-12-06 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
KR101974289B1 (ko) * 2014-10-29 2019-04-30 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 성막 장치에의 가스 분사 장치
JP6320903B2 (ja) 2014-11-19 2018-05-09 東京エレクトロン株式会社 ノズル及びこれを用いた基板処理装置
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
WO2018189413A1 (en) * 2017-04-10 2018-10-18 Picosun Oy Uniform deposition
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
CN108465185B (zh) * 2018-06-04 2023-04-07 湖南鸿腾新能源技术有限公司 一种防水透气喷头
EP3714984A1 (en) 2019-03-26 2020-09-30 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO A fluid handling structure and method for a gas phase deposition apparatus
US20220298641A1 (en) * 2019-05-30 2022-09-22 Kyocera Corporation Flow path member
CN113042246B (zh) * 2021-03-10 2021-12-21 安徽禾炬电子材料有限公司 一种无尘车间用助焊剂涂布设备

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4537795A (en) * 1982-09-16 1985-08-27 Sovonics Solar Systems Method for introducing sweep gases into a glow discharge deposition apparatus
US4520757A (en) * 1982-10-27 1985-06-04 Energy Conversion Devices, Inc. Process gas introduction, confinement and evacuation system for glow discharge deposition apparatus
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
US6022414A (en) * 1994-07-18 2000-02-08 Semiconductor Equipment Group, Llc Single body injector and method for delivering gases to a surface
KR100478461B1 (ko) * 1995-08-03 2005-09-05 에이에스엠 아메리카, 인코포레이티드 내부지지체를갖는프로세스챔버
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
JP4361921B2 (ja) * 2002-03-26 2009-11-11 東京エレクトロン株式会社 基板処理装置
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
DE102004029466A1 (de) * 2004-06-18 2006-01-05 Leybold Optics Gmbh Medieninjektor
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
JP2007063575A (ja) * 2005-08-29 2007-03-15 Toppan Printing Co Ltd プロセスガス供給機構並びにプラズマcvd成膜装置
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置

Also Published As

Publication number Publication date
TWI486482B (zh) 2015-06-01
KR101624352B1 (ko) 2016-05-25
US20100116210A1 (en) 2010-05-13
TW201033397A (en) 2010-09-16
KR20100052414A (ko) 2010-05-19
JP2010114392A (ja) 2010-05-20
JP5062144B2 (ja) 2012-10-31
CN101736319A (zh) 2010-06-16

Similar Documents

Publication Publication Date Title
CN101736319B (zh) 气体注入装置及成膜装置
CN101665927B (zh) 成膜装置、基板处理装置及成膜方法
CN101665922B (zh) 成膜装置、基板处理装置、成膜方法
CN101665921B (zh) 成膜装置、基板处理装置及成膜方法
CN102150245B (zh) 成膜装置
CN101660140B (zh) 成膜装置及成膜方法、基板处理装置
CN101831632B (zh) 成膜装置
CN101736318A (zh) 成膜装置
CN101994101B (zh) 成膜装置
CN101660142B (zh) 成膜装置和成膜方法
CN101748387B (zh) 成膜装置
CN101748388A (zh) 成膜装置
CN101748391A (zh) 成膜装置和成膜方法
CN101665924B (zh) 成膜装置及基板处理装置
CN101826446B (zh) 成膜装置和成膜方法
CN102086515A (zh) 基板处理装置
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
CN101660141B (zh) 成膜装置及基板处理装置
CN102094187A (zh) 成膜装置
CN101748389A (zh) 成膜装置、成膜方法、半导体制造装置及其所用的基座
CN102134710B (zh) 成膜装置
CN102054663A (zh) 基板处理装置和基板处理方法
CN102134709A (zh) 成膜装置
CN102953047A (zh) 成膜装置
CN102443782A (zh) 成膜装置和成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant