CN101548362A - 具有受控的双轴应力的超低介电常数层 - Google Patents

具有受控的双轴应力的超低介电常数层 Download PDF

Info

Publication number
CN101548362A
CN101548362A CNA2006800022767A CN200680002276A CN101548362A CN 101548362 A CN101548362 A CN 101548362A CN A2006800022767 A CNA2006800022767 A CN A2006800022767A CN 200680002276 A CN200680002276 A CN 200680002276A CN 101548362 A CN101548362 A CN 101548362A
Authority
CN
China
Prior art keywords
film
oxygen
controlled
dielectric
ultralow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800022767A
Other languages
English (en)
Other versions
CN101548362B (zh
Inventor
C·D·迪米特罗普洛斯
S·M·盖茨
A·格里尔
M·W·莱恩
E·G·利宁格
刘小虎
S·V·恩古源
D·A·诺伊迈尔
T·M·肖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101548362A publication Critical patent/CN101548362A/zh
Application granted granted Critical
Publication of CN101548362B publication Critical patent/CN101548362B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/249969Of silicon-containing material [e.g., glass, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro
    • Y10T428/249979Specified thickness of void-containing component [absolute or relative] or numerical cell dimension

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明描述了一种用于制造具有受控的双轴应力的超低介电常数层的方法,所述方法包括以下步骤:通过PECVD和旋涂中的一种形成包含Si、C、O和H的层,并且在包含均小于10ppm的非常低浓度的氧气和水的环境中固化所述膜。还通过使用所述方法描述了一种具有不大于2.8的介电常数的材料。本发明克服了形成具有小于46MPa的低双轴应力的膜的问题。

Description

具有受控的双轴应力的超低介电常数层
技术领域
本发明一般涉及一种用于控制在具有低或者超低的介电常数(低k或者超低k)的介电层中的双轴应力水平的方法和一种能够制造这样的介电层和包含这样的介电层的电子器件的工具设计。更具体而言,本发明涉及一种用于制造低应力超低k膜的方法以及通过这样的方法形成的电子结构,所述低应力超低k膜作为在超大规模集成(“ULSI”)后段制程(“BEOL”)布线结构中的级内或者级间电介质。
背景技术
近些年来在ULSI电路中利用的电子器件的尺寸的持续缩小已经导致提高了BEOL金属化的电阻。归因于层内和层间电介质,布线电容增加。该组合效应增加了ULSI电子器件中的信号延迟。为了改善未来的ULSI电子器件的开关性能,需要低介电常数(k)绝缘体和特别是具有与氧化硅相比显著降低的k的那些来降低电容。已经被考虑用于在ULIS器件中应用的低k介电材料(即低k电介质)包括包含Si、C、O和H的元素的材料,例如甲基硅氧烷、甲基倍半硅氧烷和其它有机和无机聚合物。可以通过旋涂或者等离子体增强化学气相淀积(PECVD)来淀积这样的材料。
当将这些材料集成到BEOL互连布线结构中时遇到的问题之一是它们具有破裂的倾向,尤其是在高湿度环境中。破裂的驱动力与存在于低k介电层中的双轴应力的平方成正比。降低双轴应力对于最小化低k介电层中的破裂很重要。
虽然对于低或者超低k介电材料进行了多年的工作,但是对于开发用于控制和减小在低和超低k介电层中的双轴应力的方法和设计旨在用于制造在室温和在将包括这样的层的所希望的器件的工作温度下具有低和受控的双轴应力的低和超低k介电层的工具存在持续的需要。
发明内容
本发明提供了:一种用于控制和减小在低和超低k介电层中的双轴应力的方法;旨在用于制造在室温和所希望的结构例如电子器件的工作温度下具有低和受控的双轴应力的低和超低k介电层的一个或多个工具的设计;以及使用具有低和受控的双轴应力的低和超低k介电层设计和制造的结构例如芯片上的互连。
本发明还提供了一个或多个电子结构,其包括在半导体芯片或者电子器件的后段制程(“BEOL”)布线结构中作为级内或者级间电介质的多个绝缘材料层,其中所述多个绝缘材料层中的至少两个包括使用本发明中所描述的方法控制其应力的超低k材料。
而且,本发明提供了一种电子结构,其包括使用本发明中所描述的方法控制其应力并作为后段制程(“BEOL”)布线结构中的级内或者级间电介质的本发明的超低k材料的层,并且所述电子结构还包括作为反应离子蚀刻(“RIE”)停止、化学机械抛光停止或者扩散阻挡层的至少一个电介质覆层。
根据本发明,提供了一种用于制造热稳定的介电层的方法,使用本发明中所描述的方法控制所述介电层的应力,并且所述介电层具有包括Si、C、O和H原子的共价键合的随机三维网络或者矩阵和原子级纳米孔隙度。
在第一实施例中,具有受控的双轴应力的所述电介质材料具有基本上由Si、C、O和H构成的共价键合的随机三维网络或者矩阵。
本发明还提供了一种电子结构(即衬底),其具有作为在后段制程(“BEOL”)布线结构中使用的级内或者级间电介质的绝缘材料层,其中所述绝缘材料可以是使用本发明中所描述的方法控制其应力的本发明的超低k膜。
在第二实施例中,提供了一种用于制造热稳定的超低k膜的方法,包括以下步骤:提供等离子体增强化学气相淀积(“PECVD”)反应器;在所述反应器中定位电子结构(即衬底);向所述反应器中流动包括Si、C、O和H的原子的第一包含硅的前体气体;向所述反应器中流动包含C、H和可选的O、F和N的原子的第二包含有机物的前体气体混合物;在衬底上淀积超低k膜;在将衬底暴露到氧化气氛(例如暴露到包含具有大于例如100ppm和优选大于10ppm的浓度的氧气的气氛)之前将衬底冷却至室温,或者将所述晶片转移到接下来的处理步骤(例如固化)的区域而不将其暴露到氧化气氛(例如暴露到包含具有大于例如100ppm和优选大于10ppm的浓度的氧气的气氛);可选地,在非氧化气氛(例如包含具有小于例如100ppm和优选10ppm的浓度的氧气的气氛)中以一个或多个步骤固化所述淀积后(as-deposited)的膜。
在第三实施例中,提供了一种用于制造热稳定的超低k膜的方法,包括以下步骤:通过旋涂包含包括Si、C、O和H的原子的材料的溶液在衬底上淀积超低k膜;可选地,在非氧化气氛(例如暴露到包含具有小于例如10ppm的浓度的氧气的气氛)中以一个或多个步骤固化所述淀积后的膜。
通过固化,我们旨在可以在非氧化气氛(例如暴露到包含小于例如10ppm的浓度的氧气的气氛)中在不小于约300℃的温度下将本发明的淀积的膜热处理至少约0.25小时的时长。可选地,可以在非氧化气氛(例如包含具有小于约10ppm的浓度的氧气的气氛)中通过紫外线(UV)辐射、电子束(EB)或者激光处理本发明的所述淀积的膜。
还可以在不高于约300℃的温度下以第一时长进行所述热处理步骤,然后在不低于约380℃的温度下以第二时长进行所述热处理步骤,所述第二时长大于所述第一时长。所述第二时长可以至少是所述第一时长的约10倍。可选地,可以通过曝光到UV辐射或者电子束处理所述热处理的膜。在非氧化气氛(例如包含小于约10ppm的浓度的氧气的气氛)中进行所有的上述步骤。
在第四实施例中,本发明的方法还包括在无氧气环境中进行的一个或多个退火步骤。在此使用的术语“无氧气环境”描述了用于处理所述电介质膜的环境,所述环境为包括惰性气体例如N2、Ar、He、Xe或者其混合物和包含小于10ppm浓度的氧气的气体。
在第五实施例中,本发明的方法还包括在具有小于10ppm氧气的无氧气环境中用UV辐射或者电子束处理所述膜的步骤。
在第六实施例中,本发明的方法可选地或附加地包括向所述晶片施加微波或者射频功率的步骤,这是在具有小于10ppm的O2的无氧气环境中进行的步骤。
在第七实施例中,本发明旨在一种电子结构,其具有在后段制程(“BEOL”)互连结构中作为级内或者级间电介质的多个绝缘材料层,使用本发明中所描述的方法控制所述多个绝缘材料层的应力,所述互连结构包括预处理的半导体衬底,其具有:嵌入在第一绝缘材料层中的第一金属区域,嵌入在本发明的超低k电介质的第二绝缘材料层中的第一导体区域,所述超低k电介质包括Si、C、O和H和多个纳米尺寸的孔并且具有不大于约2.8的介电常数,所述第二绝缘材料层与所述第一绝缘材料层紧密接触,所述第一导体区域与所述第一金属区域电通信,和第二导体区域,其与所述第一导体区域电通信,并且嵌入在包括本发明的超低k电介质的第三绝缘材料层中,所述第三绝缘材料层与所述第二绝缘材料层紧密接触。所述电子结构还包括第一电介质覆层,其位于所述第二绝缘材料层和所述第三绝缘材料层之间。所述电子结构还包括位于所述第二绝缘材料层和所述第三绝缘材料层之间的第二电介质覆层以及在所述第三绝缘材料层的顶上的第三电介质覆层。
可以从下述材料中选择所述第一电介质覆盖材料:氧化硅、氮化硅、氮氧化硅、硅碳氮化物(SiCN)、硅碳氧氮化物(SiCON)、耐火金属硅氮化物(其中,所述耐火金属选自Ta、Zr、Hf和W)、碳化硅、掺杂碳的氧化物或者SiCOH及其氢化化合物。所述第二和第三电介质覆层可以选自同一组电介质材料,所述第一绝缘材料层为氧化硅或者氮化硅或者这些材料的掺杂品种,例如磷硅玻璃(“PSG”)或者硼磷硅玻璃(“BPSG”)。所述电子结构还包括在所述第二和第三绝缘材料层中的至少一者之上淀积的电介质材料的扩散阻挡层。所述电子结构还包括在第二绝缘材料层的顶上的电介质,其作为反应离子蚀刻(“RIE”)硬掩模和抛光停止层,并且电介质扩散阻挡层可以在所述电介质RIE硬掩模和抛光停止层的顶上。
所述电子结构还包括:在所述第二绝缘材料层的顶上的第一电介质RIE硬掩模/抛光停止层,在所述第一电介质抛光停止层的顶上的第二电介质RIE硬掩模/扩散阻挡层,在所述第三绝缘材料层的顶上的第二电介质RIE硬掩模/抛光停止层,以及在所述第二电介质抛光停止层的顶上的第二电介质扩散阻挡层。所述电子结构还包括如上所述的相同材料的电介质覆层,其位于超低k电介质的级间电介质与超低k电介质的级内电介质之间。
附图说明
通过下面详细的说明和附图,本发明的上述目的、特征和优点将变得显而易见,其中:
图1是电子器件30的截面图;
图2是电子器件60的截面图;
图3是电子器件70的截面图;
图4是电子器件80的截面图;
图5是在固化膜期间作为氧气浓度的函数的在室温下的膜中的应力的图;
图6是在空气中150℃下PECVD SiCOH膜中的应力与退火的时间的关系图;
图7A和7B是示出了来自三个PECVD超低k膜的FTIR光谱的具有不同横坐标标度的同一图的曲线;
图8A-10B是淀积后的膜和退火后的相同的膜的FTIR光谱的图;
图11是示出了相对于SiCH3弯曲峰强度标准化的各种峰的强度的图;
图12是示出了相对于SiOSi峰强度标准化的各种峰的强度的图;
图13是作为几种退火气体环境的函数的膜应力的图;以及
图14-16是从在三种不同的气体环境中退火后的三个PECVD膜获得的FTIR光谱的图。
具体实施方式
本发明提供了一种用于制备具有超低k即小于约2.8的层的方法,所述层适合于在BEOL布线结构中集成,并且使用本发明中所描述的方法控制其双轴应力。更优选地,本发明的超低k膜的介电常数为约1.5至约2.8,和最优选所述介电常数为约1.8至约2.25。
在所述淀积工艺中,通过下述方式形成SiCOH电介质材料:向反应器中提供包括Si、C、O和H的原子的至少第一前体(液体、气体和蒸气)和惰性载气例如He或者Ar,反应器优选PECVD反应器,然后利用对形成SiCOH电介质材料有效的条件在适合的衬底上淀积从所述第一前体得到的膜。此外,第一前体与氧化剂例如O2、CO2或者其组合混合,由此稳定反应器中的反应物,从而改善在衬底上淀积的电介质膜的均匀性。
除了第一前体之外,还可以使用包括C、H和可选的O、F和N的原子的第二前体(气体、液体或者蒸气)。可选地,也可以使用包括Ge的第三前体(气体、液体或者蒸气)。
优选第一前体选自包括SiCOH成分的具有环结构的有机分子例如1,3,5,7-四甲基环四硅氧烷(“TMCTS”或者“C4H16O4Si4”)、八甲基环四硅氧烷(OMCTS)、二乙氧基甲基硅烷(DEMS)、二甲基二甲氧基硅烷(DMDMOS)、二乙基甲氧基硅烷(DIDMOS)以及相关的环状或者非环状的硅烷、硅氧烷等。
可以使用的第二前体是烃分子。虽然可以使用任何烃分子,但是第二前体优选选自具有环结构的烃分子,优选具有存在于分子中的多个环或者具有附着到环的支链。特别有益的是包含稠环的物种,至少其中的一者包含异质原子,优先氧。在这些物种中,最适合的是这样的那些,其包括提供显著的环应变的尺寸的环即3或者4个原子和/或7个或者更多原子的环。特别吸引人的是称为氧杂双环化合物(oxabicyclics)例如环戊烯氧化物(“CPO”或者“C5H8O”)的一类化合物的成员。同样有益的是包含附着到烃环的支叔丁基(t-butyl)和异丙基(i-propyl)基团的分子;所述环可以是饱和的或者非饱和的(包含C=C双键)。可以从锗烷氢化物或者包括源Ge的任何其它反应物形成第三前体。
本发明的方法可以还包括提供平行板反应器的步骤,该平行板反应器具有处于约85cm2与约750cm2之间的衬底夹盘的导电面积,和处于约1cm与约12cm之间的在衬底与顶电极之间的间隙。以处于约0.45MHz与约200MHz之间的频率向电极中的一者施加高频射频功率。可选地,可以向电极中的一者施加附加的低频功率。
用于所述淀积步骤的条件可以依据SiCOH电介质材料的所希望的最终的介电常数变化。宽泛地,用于提供具有约2.8或者更小的介电常数、小于45Mpa的张应力、约2至约15Gpa的弹性模数、约0.2至约2Gpa的硬度的包括元素Si、C、O和H的稳定的电介质材料的条件包括:将衬底温度设置在约300℃与约425℃之间;将高频射频功率密度设置在约0.1W/cm2与约1.5W/cm2之间;将第一液体前体流率设置在约100mg/分钟与约5000mg/分钟之间,可选地将第二液体前体流率设置在约50mg/分钟与约10,000mg/分钟之间;可选地将第三液体前体流率设置在约25mg/分钟与约4000mg/分钟之间;可选地将惰性载气例如氦(或/和氩)的流率设置在约50sccm与约5000sccm之间;将反应器压力设置为在约1000毫托与约7000毫托之间的压力;并且将高频RF功率设置在约75W与约1000W之间。可选地可以向等离子体增加约30W与约400W之间的超低频率功率。当通过因子X改变衬底夹盘的导电面积时,同样通过因子X改变施加到衬底夹盘的RF功率。
当使用氧化剂时,其以在约10sccm至约1000sccm的流率流入PECVD反应器中。
虽然在上述的工艺中使用液体前体,但是在本领域中公知也可以将有机硅气相前体(例如三甲基硅烷)用于淀积。
上述工艺产生的膜在此称为“淀积后的膜”。
在本发明中,在这样的环境中建立用于进行淀积后的SiCOH膜的热、UV、EB、激光或者RF处理的氛围,该环境包括惰性气体例如N2、H2、Ar、He、Xe、或者其它稀有气体或者烃气体或者其混合物,并包含处于0至100ppm的范围的和优选10ppm的O2浓度。
非氧化(无氧气)环境包括烃氛围,其优选具有双键基团并且具有小于100ppm和优选10ppm的氧气水平,以在固化后钝化反应位置例如来自硅氧的悬挂键,并且减少SiCOH和多孔SiCOH膜氧化。具有双键基团的烃氛围可以包括乙烯或者1,3丁二烯。
具体而言,可选地可以使用能量源处理淀积后的膜,以稳定膜并且改善其(电、机械、粘附)特性,产生最终的最佳膜。适当的能量源包括热能量源、化学能量源、紫外(UV)光、电子束(e束)、微波以及等离子体。也可以使用上述能量源的组合。利用本发明中使用的能量源修改所述淀积后的电介质的Si-O键合网络,修改材料中的其它键,引起更多的Si-O交联,并且在一些情况下去除烃相,所有的上述修改导致较高的弹性模数、较高的硬度或者较低的双轴应力或者该多个特性的组合。在所有的其它参数相同的情况下,较高的弹性模数或者较低的应力或者以上两者导致在水下测量的较低的破裂传播速度,较高的弹性模数和较低的应力的组合是能量处理的优选结果。
热能量源包括可以将淀积的电介质材料加热到约300℃至约500℃的温度的任何源例如加热元件或者灯。更优选地,热能量源能够将淀积的电介质材料加热到约350℃至约430℃的温度。可以以各种时长执行该热处理工艺,约1分钟至约300分钟的时长是典型的。典型地在存在惰性气体例如He和Ar的情况下执行热处理步骤。热处理步骤可以称为退火步骤,在其中使用快速热退火、炉退火、激光退火或者尖峰退火条件。
利用可以产生具有约500至约150nm波长的光的源进行UV光处理步骤,以在将晶片温度保持在25℃至500℃的同时辐照衬底,优选300℃至450℃的温度。大于370nm的波长的辐射具有不足以分解或者激活重要的键例如Si-CH3和Si-H的能量,因此在150至370nm的范围内的波长是优选的范围。使用文献数据和在淀积后的膜上测量的吸收光谱,本发明人已经发现:归因于SiCOH膜的劣化,具有小于170nm的波长的辐射能量是不利的。而且,归因于对于在310至370nm的范围内的波长的每光子的相对低的能量,具有在310至370nm的范围中的波长的辐射能量与具有在150至310nm的范围内的波长的辐射能量相比是不利的。在150至310nm的波长范围内,可选地可以使用与淀积后的膜的吸收谱重叠并最小劣化膜特性(例如疏水性)的最佳波长以选择用于改变SiCOH特性的UV光谱的最有效区域。
在保持晶片温度在25℃至500℃的范围内的同时,优选使用处于300℃至450℃的范围的温度,利用能够在晶片的表面上产生均匀的电子通量的源进行电子束处理步骤,使用0.5至25KeV的能量和0.1至100微安培/cm2(优选1-5微安培/cm2)电流密度。在电子束处理步骤中使用的电子的优选剂量处于50至500微库仑/cm2的范围,使用100至300微库仑/cm2(即μC/cm2)的电子剂量是最优选的。
在进行进一步的集成处理之前稳定淀积后的膜。稳定的膜是其中已经馏出在淀积后的膜中的蒸气成分的膜。可以在约300℃至约430℃在炉退火步骤中以在约0.5小时与约4小时之间的时长进行稳定处理。也可以在约300℃之上的温度在快速热退火处理中执行稳定处理。也可以在约300℃之上的温度在UV或者e束室中进行稳定处理。根据本发明获得的膜的介电常数小于约2.8。在非氧化性环境即小于10ppm的氧气中根据本发明获得的膜的热稳定性为从室温和以下直到至少约430℃的温度。具有热稳定性的膜是在处于从室温和以下直到约430℃的温度在构成或者特性上不改变的膜。
在图1-4中示出了根据本发明形成的电子器件的几个截面图。应当注意,仅仅将图1-4中示出的器件示例为根据本发明的实例,但是根据本发明也可以形成无数的其它器件。
图1描述了构建在硅或者包含硅的衬底32上的电子器件30的截面图。在硅或者包含硅的衬底32的顶上,形成具有嵌入其中的第一金属区域36的绝缘材料层34。在第一金属区域36上进行化学机械抛光(“CMP”)处理之后,在第一绝缘材料层34和第一金属区域36的顶上淀积膜例如超低k膜38。可选地,可以在层34与层38之间增加附加的电介质覆层(未示出)。第一绝缘材料层34可以适宜地由氧化硅、氮化硅、这些材料的掺杂物种,或者任何其它适宜的绝缘材料形成。通过光刻工艺构图超低k膜38,并且在其中形成导体层40。在进行了在第一导体层40上的CMP处理后,通过覆盖第一超低k膜38和第一导体层40的等离子体增强化学气相淀积(“PECVD”)工艺来淀积第二超低k膜层44。导体层40由金属导电材料或者非金属导电材料构成。例如,可以利用铝或者铜的金属导电材料或者非金属材料例如氮化物或者多晶硅。第一导体40与第一金属区域36电通信。
在第二超低k膜层44中进行光刻处理后,形成第二导体区域50,随后进行用于第二导体材料的淀积工艺。与在形成第一导体层40中所使用的类似,也可以由金属材料或者非金属材料形成第二导体50。第二导体区域50与第一导体区域40电通信,并且被嵌入第二超低k绝缘体层44中。第二超低k膜层44与第一绝缘材料层38紧密接触。在该特定的实例中,是根据本发明的超低k材料的第一绝缘材料层38作为级内电介质材料,而第二绝缘材料层即超低k膜44作为级内和级间电介质。基于超低k膜的低介电常数,对于第一绝缘层38和第二绝缘层44可以获得优越的绝缘特性。
图2描述了与在图1中示出的电子器件30类似但是具有淀积在第一绝缘层38与第二绝缘层44之间的附加电介质覆层62的根据本发明的电子器件60的截面图。电介质覆层62可以适宜地由材料例如氧化硅、氮化硅、氧氮化硅、碳化硅、硅碳氮化物(SiCN)、硅碳氧化物(SiCO)、修改的超低k及其氢化化合物以及耐火金属硅氮化物构成,其中,所述耐火金属选自:Ta、Zr、Hf和W。另外,电介质覆层62作为扩散阻挡层,用于防止第一导体层40扩散到第二绝缘材料层44中或者下层中,特别是扩散到层34和32中。
图3描述了根据本发明的电子器件70的另一可选实施例的截面图。在电子器件70中,使用作为RIE掩模和CMP(化学机械抛光)抛光停止层的两个附加的电介质覆层72和74。在第一绝缘材料层38上淀积第一电介质覆层72。电介质层72的功能为提供用于在平面化第一导体层40中所利用的CMP工艺的终点。可以由适宜的电介质材料例如氧化硅、氮化硅、氮氧化硅、碳化硅、硅碳氧化物(SiCO)、硅碳氮化物(SiCN)、修改的超低k及其氢化化合物以及耐火金属硅氮化物淀积抛光停止层72,其中所述耐火金属选自:Ta、Zr、Hf和W。电介质层72的顶表面与第一导体层40处于同一平面。可以在第二绝缘材料层44的顶上增加第二电介质层74用于相同的目的。
图4描述了根据本发明的电子器件80的又一可选实施例的截面图。在该可选实施例中,淀积附加的电介质层82,从而其将第二绝缘材料44分隔为两个分离的层84和86。因此将如图3中所示的级内和级间电介质层44分隔为层间电介质层84和层内电介质层86。在互连92与互连94之间存在与在电介质82与级内电介质层86之间的界面共面的边界。还在上电介质层74的顶上淀积附加的扩散阻挡层96。电子结构80的该可选实施例提供的附加有益效果为电介质层82作为提供优良的互连94深度控制的RIE蚀刻停止,因此对导体电阻提供优良的控制。
提供下面的实例示例按照本发明的超低k电介质膜的制造,以及示范可以从其获得的优点:
实例1:
在该实例中,在Si晶片上淀积两种类型的SiCOH膜。第一种类型的SiCOH膜为通过PECVD淀积的超低k电介质膜,并且其在淀积后的状态中包含基于前体二乙氧基甲基硅烷(DEMS)的矩阵和可以随后通过能量源去除以稳定膜并且改善其(电、机械、粘附)特性的有机相,产生最后的最佳膜。适合的能量源包括热、化学、紫外(UV)光、EB、RF、微波和等离子体。也可以在本发明中使用上述能量源的组合。在本发明中,在这样的环境中建立用于热、UV、EB、激光或者RF处理的氛围,该环境包括惰性气体例如N2、H2、Ar、He、Xe、或者其它稀有气体或者烃气体或者其混合物,并包含处于0至10ppm的范围的O2浓度。
第二种类型的SiCOH膜是基于甲基倍半硅氧烷(MSQ)与氢倍半硅氧烷(HSQ)的混合物的膜,其通过从溶液旋涂淀积在Si衬底上。可以随后通过能量源处理该膜以稳定膜并改善其(电、机械、粘附)特性,产生最后的最佳膜。适宜的能量源包括热、化学、紫外(UV)光、EB、RF、微波和等离子体。也可以在本发明中使用上述能量源的组合。在本发明中,在这样的环境中建立用于热、UV、EB、激光或者RF处理的氛围,该环境包括惰性气体例如N2、H2、Ar、He、Xe、或者其它稀有气体或者烃气体或者其混合物,并包含处于0至10ppm的范围的O2浓度。
在400℃下在包含变化的数量的氧气的不同气体中退火来自通过PECVD形成的SiCOH膜(第一种类型的膜)和由旋涂的MSQ和HSQ的混合物形成的SiCOH膜(第二种类型的膜)的样片。图5示出了在退火步骤期间膜双轴应力与在气体环境中的含氧量的关系的图,在气体环境中的含氧量越高,则在退火后产生的膜的双轴应力越高,并且应用到PECVD SiCOH膜和溶液处理的旋涂的SiCOH膜。在图5中,纵坐标表示室温双轴应力,横坐标表示在固化期间在气体环境中的氧气浓度。在图5中,绘制了数据点101-104,并且直线的曲线105对应于PECVD SiCOH膜,而数据点106-110和直线的曲线111对应于溶液处理的旋涂的SiCOH膜。
如表Ia和图5中所示,PECVD SiCOH膜的淀积后的膜具有31MPa的双轴应力(该双轴应力测量的误差棒为5MPa)。
表Ia
 
在退火气体中的O2含量(ppm)           厚度(μm) 应力(MPa)
无退火(未固化) 1 31±5
形成气体(10-7ppm) 0.84 40±4
20 0.84 48±4
4000 0.84 76±8
表Ib
 
在退火气体中O2含量(ppm)             厚度(μm) 应力(MPa)
形成气体(10-7ppm) 0.84 34±7
20 0.84 39±8
1000 0.84 50±7
当在包含4000ppm的氧气的气氛中退火SiCOH膜时,退火的SiCOH膜的双轴应力提高到76MPa。
表Ib显示了涉及PECVD SiCOH膜的重复试验的结果。
在表Ib中示出的重复试验的结果与在表Ia中所示的第一试验的结果一致。
如表II和图5中所示,所述溶液处理的旋涂的SiCOH膜的淀积后的的膜具有33MPa的双轴应力(该应力测量的误差棒为9MPa)。
表II
在退火气体中的O2含            厚度(
Figure A200680002276D0018091230QIETU
)              应力(MPa)
量(ppm)
未固化                        5250                  33±9
形成气体(1ppm)                5250                  36±9
20                            5250                  40±8
1000                          5250                  43±5
4000                          5250                  46±8
当在包含4000ppm氧气的气氛中退火SiCOH膜时,在室温下退火的旋涂的SiCOH膜的双轴应力提高到46MPa。
图6示出了这样的数据点114-116和曲线117,其示出了在退火的PECVD SiCOH膜中的室温双轴应力。在图6中,纵坐标表示双轴应力,和横坐标表示在空气中的时间。在图6中,从在150℃下在空气中退火约50小时后的淀积后的PECVD SiCOH膜的样片获得数据。如图6中的曲线117所示,双轴应力随着在空气中的退火时间而单调地提高。图6示出了在存在氧气的情况下退火淀积后的PECVD SiCOH膜导致了PECVDSiCOH膜的双轴应力的提高。
图7A和7B示出了来自在图1中所示的第一实施例中并入的超低kSiCOH膜的FTIR光谱。图7A是在图7B中的放大版本。图7A示出了在退火前从通过DEMS和有机前体的混合物制备的三个PECVD超低kSiCOH膜获得的FTIR光谱的曲线117-119。曲线121-123示出了三片淀积后的PECVD超低k SiCOH膜中的每一个在具有不同O2含量的气体环境或者气氛中退火后的FTIR光谱,也在退火前分别对三个样片进行了测量以得到曲线117-119示出的FTIR光谱。
使用下面的三个退火条件:
1.在400℃下14h的形成气体退火。
2.在400℃下14h的具有10ppm O2的N2气体退火。
3.在400℃下14h的具有300ppm O2的N2气体退火。
在图7A和7B中,纵坐标表示吸收率,横坐标表示FTIR光谱的波数(cm-1)。在图7A和7B中,由曲线121-123示出的数据示出了对于所使用的三个退火环境包括膜结构的化学键是不同的。曲线121对应上述退火条件1即形成气体退火。曲线122对应上述退火条件2即具有10ppm O2的N2气体退火。曲线123对应上述退火条件3即具有300ppm O2的N2气体退火。在图7B中的所有六个光谱(来自三个淀积后的膜和在三个不同的退火处理后的相同的膜)显示了在980和1250cm-1之间的复合Si-O吸收带。在图7A和7B中的所有六个光谱(来自三个淀积后的膜和在三个不同的退火处理后的相同的膜)显示了在约1268cm-1处的Si-CH3吸收峰和在约2800-3050cm-1处的重叠CHx吸收峰。在这些光谱中存在的其它相关的带归因于下列化学残基:
●在从1700至1800cm-1的区域中的一个或多个峰归因于具有各种最近邻原子的C=O。
●在1607cm-1处的峰归因于C=C。
●在1460cm-1处的峰归因于与Si隔离的CH2
●在1411cm-1处的峰归因于来自SiMex的CH3
●在1378cm-1处的峰归因于来自SiCH2Si的CH2
●在2241和2172cm-1处的峰分别为HSiO3和HSiO2Si所有。
在图7B中由曲线121-123所示出的超低k SiCOH膜的FTRI光谱的一个特性是可以将Si-O峰去卷积为中心约处于大约1141cm-1、1064cm-1和1030cm-1的3个峰,如在图8B、9B和10B中对于三个不同的退火条件1-3(图8B:条件1,在400℃下14小时的形成气体退火;图9B:条件2,在400℃下14小时的具有10ppm O2的N2气体退火;图10B:条件3,在400℃下14小时的具有300ppm O2的N2气体退火)所具体示例的。在图8A、8B、9A、9B、10A和10B中,纵坐标表示吸收率,横坐标表示波数(cm-1)。
在图8A中通过曲线125和129、在图8B中通过曲线131和135、在图9A中通过曲线137和141、在图9B中通过曲线145和149、在图10A中通过曲线155和159以及在图10B中通过曲线161和165示出了Si-O峰FTIR光谱。
形成为去卷积Si-O峰的结果的3个峰约以1141cm-1、1064cm-1和1030cm-1为中心,并且在图8A中通过曲线125-128、在图8B中通过曲线131-134、在图9A中通过曲线137-140、在图9B中通过曲线145-148、在图10A中通过曲线155-158以及在图10B中通过曲线161-164示出。
在不同的环境下退火的膜中大致以1141cm-1、1064cm-1和1030cm-1为中心的这三个峰的不同比率将被用于建立关于这些PECVD超低kSiCOH膜的结论。
来自FTIR光谱的一般结论包括下列陈述:
●在以条件1-3退火后
○在膜中的CHx含量降低,这指示了成孔剂的损失。
○总的SiOSi键含量提高,这指示了交联增加。
○增加的SiCH3弯曲强度表示增加的孔隙度导致振荡的较少屏蔽。
●在以条件1(形成气体在400℃下14小时)或者以条件2(具有10ppm O2的N2气体在400℃14小时)退火后
○网络峰强度保持大致相同,这表示保留了SiOSi键含量。
○增加的低价氧化物峰强度,其通过Si-Si交联形成解释。
○减少的SiH峰强度,这表示在Si-Si交联反应中涉及该残基。
○减少的C=O峰强度,这表示发生了成孔剂的损失,和减少了C=O键。
○减少的CH2(无Si)峰强度,这表示发生了成孔剂的损失。
○SiCH2Si消失,这表示对于Si-Si交联形成其被损失。
●在以条件3(具有300ppm O2的N2气体在400℃下14小时)退火后
○增加的SiOSi网络峰强度,这表示形成更多的SiOSi键。
○减少的SiOSi低价氧化物峰强度,这表示通过这些退火形成更多的SiOSi键,并且消除了其中X不是O的SiX键。
○减少的总SiH峰强度,同时归因于H-SiO2Si的峰完全消失。这可以与产生新的SiOSi交联键相联系。
○增加的C=O峰强度,这表示在SiCOH中的有机成分的氧化发生。
○增加的CH2(无Si)峰强度,这表示在所述膜中捕获了成孔剂。
○保持SiCH2Si峰强度。
●在以条件3(具有300ppm O2的N2气体在400℃下14小时)或者以条件2(具有10ppm O2的N2气体在400℃下14小时)退火后,C=C峰强度增加,这可能是因为碳酸盐形成。相反,C=C峰在以纯形成气体在400℃下14小时的条件1退火的膜中保持相同或者减小。
图11示出了相对SiCH3弯曲峰强度标准化的各种峰的强度。在图11中,纵坐标表示相对于SiCH3弯曲峰面积的各种峰面积。横坐标表示在形成气体、N2气体10ppm氧气和N2气体300ppm氧气中退火的SiCOH膜。
曲线170、180、190和200表示淀积后的Chx的相对于SiCH3弯曲峰面积的峰面积。曲线171、181、191和201表示退火的Chx的相对于SiCH3弯曲峰面积的峰面积。曲线172、182、192和202表示淀积后的总的SiOSi的相对于SiCH3弯曲峰面积的峰面积。曲线173、183、193和203表示退火的总的SiOSi的相对于SiCH3弯曲峰面积的峰面积。曲线174、184、194和204表示淀积后的笼状物(cage)的相对于SiCH3弯曲峰面积的峰面积。曲线175、185、195和205表示退火的笼状物的相对于SiCH3弯曲峰面积的峰面积。曲线176、186、196和206表示淀积后的网络的相对于SiCH3弯曲峰面积的峰面积。曲线177、187、197和207表示对退火的网络的相对于SiCH3弯曲峰面积的峰面积。曲线178、188、198和208表示对于淀积后的低价氧化物的相对于SiCH3弯曲峰面积的峰面积。曲线179、189、199和209表示退火的低价氧化物的相对于SiCH3弯曲峰面积的峰面积。
曲线170-179对应于在形成气体中退火的SiCOH膜。曲线180-189对应于在形成气体中退火的另一SiCOH膜。曲线190-199对应于在具有10ppm O2的N2气体中退火的SiCOH膜。曲线200-209对应于在具有300ppmO2的N2气体中退火的SiCOH膜。在图11中,曲线170-209量化作为SiCOH膜退火条件的函数的相对峰强度改变,并且基于这些曲线,建立上述结论。选择SiCH3弯曲峰强度作为参考强度,因为当相对总的SiOSi峰强度标准化时,SiCH3弯曲峰强度在退火前后保持不变,如图12中曲线212、213、222、223、232、233、242和243所示。
在图12中,纵坐标表示相对于SiOSi峰面积的各种峰面积。横坐标表示在形成气体、N2气体10ppm氧气和N2气体300ppm氧气中退火的SiCOH膜。
曲线210、220、230和240表示对于淀积后的Chx的相对于SiOSi总峰面积的峰面积。曲线211、221、231和241表示退火的Chx的相对于SiOSi总峰面积的峰面积。曲线212、222、232和242表示淀积后的SiCH3的相对于SiOSi总峰面积的峰面积。曲线213、223、233和243表示退火的SiCH3的相对于SiOSi总峰面积的峰面积。曲线214、224、234和244表示淀积后的笼状物的相对于SiOSi总峰面积的峰面积。曲线215、225、235和245表示退火的笼状物的相对于SiOSi总峰面积的峰面积。216、226、236和246表示淀积后的网络的相对于SiOSi总峰面积的峰面积。曲线217、227、237和247表示退火的网络的相对于SiOSi总峰面积的峰面积。曲线218、228、238和248表示淀积后的低价氧化物的相对于SiOSi总峰面积的峰面积。曲线219、229、239和249表示退火的低价氧化物的相对于SiOSi总峰面积的峰面积。
曲线210-219对应于在形成气体中退火的SiCOH膜。曲线220-229对应于在形成气体中退火的另一SiCOH膜。曲线230-239对应于在具有10ppm O2的N2气体中退火的SiCOH膜。曲线240-249用于在具有300ppmO2的N2气体中退火的SiCOH膜。
实例2
在该实例中,通过PECVD在Si晶片上淀积超低k SiCOH膜的一种特定构成。在所述淀积后的状态中,这些SiCOH膜包含基于前体DEMS的矩阵,和可以随后在提高的温度下通过紫外光曝光去除以稳定SiCOH膜并改善其(电、机械、粘附)特性的有机相,在Si晶片上产生最后的最佳SiCOH膜。
在三种不同气体环境中UV固化、处理或者退火在分别的Si晶片上的SiCOH膜。三种不同的气体环境为:稀有气体例如He或者Ar、与H2混合的He,和氧气。对于UV处理,在处理期间将衬底温度保持在400℃下20分钟,并且使用相同的UV灯来用于UV处理。
图13示出了在UV处理后Si晶片上的SiCOH膜的双轴应力。在图13中,纵坐标表示双轴应力,和横坐标表示在三种气体环境(稀有气体环境、He和H2的混合物和氧气的气体环境)中退火的SiCOH膜。
曲线256对应于稀有气体环境并且示出了约40MPa的双轴应力。曲线257对应于He和H2气体的混合物并且示出了约42MPa的双轴应力。曲线258对应于氧气气体环境并且示出了约117MPa的双轴应力。曲线258示出了与曲线256的40MPa和曲线257的42MPa相比较,在UV固化期间的氧气的气体环境将膜中的双轴应力显著提高到约117MPa。因为双轴应力促进了电介质膜例如SiCOH膜的破裂,所以双轴应力117MPa是不希望的。在膜中希望小于46MPa的双轴应力,优选小于40MPa。因此,为了提供低双轴应力的SiCOH膜,通过将氧气浓度保持在从0到10ppm的范围内在SiCOH膜的UV固化或者其它能量处理期间从固化腔排除氧气。
现在参考图14、15和16讨论第二实施例的结果。图14-16示出了从三种PECVD超低k膜获得的FTIR谱。在图14-16中,纵坐标表示吸收率,横坐标表示波数。在三种不同气体中的UV固化前后从DEMS和有机前体的混合物制备所述三种膜,所述三种气体是:通过在图14中的曲线164、在图15中的曲线168和在图16中的曲线174示出的稀有气体,通过在图14中的曲线163、在图15中的曲线167和在图16中的曲线173示出的He和H2的气体混合物,在图14中的曲线162、在图15中的曲线166和在图16中的曲线172示出的O2的气体环境。曲线162、166和172示出了在氧气的气体环境中退火的样品的FTIR光谱与在稀有气体的气体环境中或者在He和H2的气体混合物(曲线163、167和173)中退火的其它两个样品的FTIR光谱非常不同。在O2的气体环境中退火的SiCOH膜中,几乎完全耗尽包含残基的C,并且在SiOSi峰区域中,很清楚,减小了笼状物的峰并且显著地增加了网络峰。另一观察现象是在FTIR谱中与OH相关的特征。
现在参考图14、15和16讨论第二实施例的结果。这些附图表示了从3种PECVD超低k膜获得的FTIR光谱,所述3种PECVD超低k膜是在三种不同的气体环境中的UV固化前后从DEMS和有机前体的混合物制备的,所述三种不同的气体是稀有气体、He和H2的混合物和氧气。我们看到,氧气退火的样品的谱与其它两个样品非常不同。在氧气退火的样品中几乎耗尽了包含残基的C,这通过下述内容证明:在图14的相关联谱中在1270cm-1周围没有Si-CH3峰,并且在图15的相关联谱中从2800至3050cm-1不存在CHx振荡。从在图14中的氧气退火的样品的光谱中的SiOSi峰区域,很清楚减小了在1150cm-1周围的笼状物峰的强度并且显著地增加了在1100和1000cm-1之间的网络峰的强度,因此呈现出比在稀有气体或者He/H2气氛中固化的样品更低的笼状物对网络峰强度比率。另一观察是在氧气退火的样品的光谱中存在与OH相关的特征。在稀有气体或者He/H2气氛中固化的样品的情况下几乎不存在这样的特征。
虽然已经以示例的方式描述了本发明,但应当理解,所使用的术语旨在说明的词性而不是限制。
而且,虽然已经关于一个优选实施例和几个可选实施例示出和描述了本发明,但是应当理解,在不脱离本发明的精神和范围的情况下,本领域的技术人员可以容易地将这些教导应用到本发明的其它可能的变化形式上。
在所附的权利要求中限定了其中要求保护专有性或者特有权的本发明的实施例。

Claims (31)

1.一种用于制造包含Si、C、O和H的元素并且具有低和受控的双轴应力的超低介电常数膜的方法,包括以下步骤:
通过选自等离子体增强化学气相淀积(PECVD)和旋涂方法的方法在衬底上形成膜;以及
在包含浓度处于00至10ppm范围的氧气的环境中固化所述膜。
2.根据权利要求1的方法,其中所述固化步骤包括使用选自热、电子束、等离子体、UV、DUV和激光的能量源。
3.根据权利要求1的方法,其中所述O2浓度不超过百万分之8(ppm)。
4.根据权利要求2的方法,其中在包含浓度处于0至10ppm范围的水的环境中将所述膜第二次暴露到所述能量源中的一种。
5.根据权利要求1的方法,其中所述膜的所述双轴应力不超过46MPa。
6.根据权利要求1的方法,其中所述膜的所述双轴应力不超过所述淀积后的未固化的膜的所述应力大于5MPa。
7.根据权利要求1的方法,其中通过在不小于300℃的温度下将所述膜加热不小于0.25小时的时长进行所述固化。
8.根据权利要求1的方法,其中所述固化步骤包括:在将所述膜保持在不小于300℃的同时,使用选自热、电子束、等离子体、UV、DUV(深UV)或激光的能量源。
9.根据权利要求1的方法,其中所述超低k膜具有不大于约2.8的介电常数。
10.根据权利要求1的方法,其中所述超低k膜具有处于约1.5至约2.8的范围的介电常数。
11.根据权利要求1的方法,其中所述超低k膜包括约5至约40原子百分比的Si;约5至约45原子百分比的C;约0至约50原子百分比的O;以及约10至约55原子百分比的H。
12.一种包括Si、C、O和H的原子的超低介电常数膜,所述超低介电常数膜具有共价键合的三维网络结构、不大于2.8的介电常数、具有直径在约0.5与约30纳米之间的分子尺度间隙的受控的孔隙度,所述分子尺度间隙占据约5%与约40%之间的体积,并且呈现小于46MPa的双轴应力。
13.根据权利要求12的膜,其中所述膜的所述双轴应力不超过40MPa。
14.根据权利要求12的膜,其中所述膜的所述双轴应力不超过所述淀积后的未固化的膜的所述应力大于5MPa。
15.根据权利要求12的膜,其中所述膜具有不大于约2.8的介电常数。
16.根据权利要求12的膜,其中所述膜具有处于约1.5至约2.8的范围的介电常数。
17.根据权利要求12的膜,其中所述膜包括约5至约40原子百分比的Si;约5至约45原子百分比的C;约0至约50原子百分比的O;约10至约55原子百分比的H。
18.一种电子结构,包括至少一种电介质材料,所述电介质材料包括Si、C、O和H的原子,所述电介质材料具有共价键合的三维网络结构、不大于2.8的介电常数、具有直径在约0.5与约30纳米之间的分子尺度间隙的受控的孔隙度,所述分子尺度间隙占据约5%与约40%之间的体积,和受控的双轴应力,所述受控的双轴应力不超过46MPa和所述淀积后的未固化的材料的所述应力大于5Mpa之一。
19.根据权利要求18的电子结构,其中在后段制程(BEOL)互连级间、BEOL互连级内、覆层、硬掩模、CMP停止层和蚀刻停止层中的一种中使用所述电介质材料。
20.一种用于制造包含Si、C、O和H的元素并且呈现低和受控的双轴应力的超低介电常数膜的方法,包括以下步骤:
通过选自等离子体增强化学气相淀积(PECVD)和旋涂方法的方法在衬底上形成膜;
在将所述淀积后的膜暴露到氛围气氛之前,允许在包含处于0至10ppm范围的受控的非常低浓度的氧气的非氧化环境中冷却所述淀积后的膜和在其上形成所述淀积后的膜的衬底;以及
在包含均处于0至10ppm范围的受控的非常低浓度的氧气和水的环境中固化所述淀积后的膜。
21.根据权利要求20的方法,其中使用选自热、电子束、等离子体、UV、DUV和激光的能量源进行所述固化。
22.根据权利要求20的方法,其中所述固化还包括:在包含均处于0至10ppm的范围的受控的非常低浓度的氧气或水的环境中将所述膜第二次暴露到选自热、电子束、等离子体、UV、DUV或激光的一个或多个能量源。
23.根据权利要求20的方法,其中通过在不小于300℃的温度下将所述膜加热不小于0.25小时的时长进行所述固化。
24.根据权利要求20的方法,其中UV或电子束处理所述膜,然后允许其在同一环境中冷却至室温。
25.一种固化工具,其中固化包含Si、C、O和H的元素的超低介电常数膜,所述固化在包含均处于0至100ppm的范围的受控的非常低浓度的氧气和水的环境中使用选自热、电子束、等离子体、UV、DUV和激光的能量源进行,产生具有低和受控的双轴应力的膜。
26.根据权利要求25的工具,其中在包含均处于0至10ppm的范围的受控的非常低浓度的氧气和水的环境中将所述膜可选地第二次暴露到选自热、电子束、等离子体、UV、DUV和激光的一个或多个能量源。
27.根据权利要求25的工具,其中通过在不小于300℃的温度下将所述膜加热不小于0.25小时的时长进行所述固化。
28.根据权利要求25的工具,其中在将所述淀积的膜保持在不小于300℃的同时,使用选自热、电子束、等离子体、UV、DUV(深UV)或激光的能量源进行所述固化。
29.一种集成PECVD固化工具,用于制造包含Si、C、O和H的元素并且呈现低和受控的双轴应力的超低介电常数膜,其中进行下列处理步骤:
使用等离子体增强化学气相淀积(PECVD)在衬底上淀积所述膜;
在包含处于0至100ppm的范围的受控的非常低浓度的氧气的非氧化环境中在所述工具中的处理区域之间转移所述衬底;
在包含均处于0至100ppm的范围的受控的非常低浓度的氧气或水的环境中固化所述淀积的膜;以及
在将所述衬底暴露到氛围气氛之前,允许在包含均处于0至10ppm的范围的受控的非常低浓度的氧气或水的非氧化环境中冷却所述衬底。
30.根据权利要求29的工具,其中所述环境是非氧化(无氧气)烃氛围,其具有双键基团并且具有小于10ppm的氧气水平,以在固化后钝化反应位置,并且减少SiCOH和多孔SiCOH膜氧化。
31.根据权利要求30的工具,其中所述烃氛围双键基团包括乙烯或1,3丁二烯。
CN2006800022767A 2005-01-13 2006-01-12 具有受控的双轴应力的超低介电常数层 Expired - Fee Related CN101548362B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/034,479 US7357977B2 (en) 2005-01-13 2005-01-13 Ultralow dielectric constant layer with controlled biaxial stress
US11/034,479 2005-01-13
PCT/US2006/001154 WO2007089223A2 (en) 2005-01-13 2006-01-12 Ultralow dielectric constant layer with controlled biaxial stress

Publications (2)

Publication Number Publication Date
CN101548362A true CN101548362A (zh) 2009-09-30
CN101548362B CN101548362B (zh) 2012-10-03

Family

ID=38327796

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800022767A Expired - Fee Related CN101548362B (zh) 2005-01-13 2006-01-12 具有受控的双轴应力的超低介电常数层

Country Status (6)

Country Link
US (3) US7357977B2 (zh)
EP (1) EP1854131A4 (zh)
JP (1) JP5065054B2 (zh)
CN (1) CN101548362B (zh)
TW (1) TW200636859A (zh)
WO (1) WO2007089223A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103531463A (zh) * 2013-10-30 2014-01-22 苏州大学 低表面孔隙低介电常数薄膜材料的制备方法
CN104900580A (zh) * 2014-03-04 2015-09-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN108511332A (zh) * 2017-02-28 2018-09-07 Imec 非营利协会 半导体基材直接结合的方法
CN113272985A (zh) * 2019-01-15 2021-08-17 应用材料公司 用于hmdso热稳定性的方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US20070176292A1 (en) * 2006-01-27 2007-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding pad structure
JP4675258B2 (ja) * 2006-02-22 2011-04-20 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体装置
JP2008103586A (ja) * 2006-10-20 2008-05-01 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US8053375B1 (en) * 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
JP5140290B2 (ja) * 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
JP5304033B2 (ja) * 2007-08-31 2013-10-02 富士通株式会社 半導体装置の製造方法
US8338315B2 (en) * 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
JP2010129824A (ja) * 2008-11-28 2010-06-10 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP5565314B2 (ja) * 2008-12-08 2014-08-06 富士通株式会社 半導体装置の製造方法及びその製造装置
US20160013049A1 (en) * 2013-03-14 2016-01-14 Applied Materials, Inc. Enhancing uv compatibility of low k barrier film
WO2022140346A1 (en) * 2020-12-27 2022-06-30 Applied Materials, Inc. Single precursor low-k film deposition and uv cure for advanced technology node

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1177272B (it) * 1984-11-20 1987-08-26 Alusuisse Italia Spa Catalizzatore per reazioni di ossidazione e procedimento per la sua produzione
US5384156A (en) * 1993-08-23 1995-01-24 Litton Systems, Inc. Reversible method of magnetic film annealing
JP3209072B2 (ja) * 1996-02-07 2001-09-17 ソニー株式会社 絶縁膜の形成方法
EP1118110A1 (en) * 1998-08-27 2001-07-25 AlliedSignal Inc. Process for optimizing mechanical strength of nanoporous silica
JP2001098218A (ja) * 1999-09-28 2001-04-10 Hitachi Chem Co Ltd シリカ系被膜、シリカ系被膜の形成方法及びシリカ系被膜を有する電子部品
JP3530165B2 (ja) * 2000-10-20 2004-05-24 株式会社東芝 半導体装置の製造方法
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
TW477029B (en) * 2001-02-21 2002-02-21 Nat Science Council Method of reducing thick film stress of spin on dielectric and the resulting sandwich dielectric structure
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
JP2003124207A (ja) * 2001-10-12 2003-04-25 Hitachi Chem Co Ltd 被膜、被膜形成用塗布液、被膜の製造方法及びその被膜を有する電子部品
JP2003243386A (ja) * 2002-02-19 2003-08-29 Asahi Kasei Corp 絶縁膜の製造方法
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP2006086280A (ja) * 2004-09-15 2006-03-30 Seiko Epson Corp SiOC膜の形成方法およびSiOC膜
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
JP5324734B2 (ja) * 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション 誘電体材料とその製造方法
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103531463A (zh) * 2013-10-30 2014-01-22 苏州大学 低表面孔隙低介电常数薄膜材料的制备方法
CN104900580A (zh) * 2014-03-04 2015-09-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104900580B (zh) * 2014-03-04 2018-05-01 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN108511332A (zh) * 2017-02-28 2018-09-07 Imec 非营利协会 半导体基材直接结合的方法
CN108511332B (zh) * 2017-02-28 2023-06-20 Imec 非营利协会 半导体基材直接结合的方法
CN113272985A (zh) * 2019-01-15 2021-08-17 应用材料公司 用于hmdso热稳定性的方法

Also Published As

Publication number Publication date
EP1854131A2 (en) 2007-11-14
WO2007089223A2 (en) 2007-08-09
US20090304951A1 (en) 2009-12-10
TW200636859A (en) 2006-10-16
US20080044668A1 (en) 2008-02-21
WO2007089223A3 (en) 2007-11-01
CN101548362B (zh) 2012-10-03
US20080286494A1 (en) 2008-11-20
JP5065054B2 (ja) 2012-10-31
US7357977B2 (en) 2008-04-15
EP1854131A4 (en) 2011-03-30
JP2008527757A (ja) 2008-07-24

Similar Documents

Publication Publication Date Title
CN101548362B (zh) 具有受控的双轴应力的超低介电常数层
CN100524648C (zh) 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
US7030468B2 (en) Low k and ultra low k SiCOH dielectric films and methods to form the same
EP1617957B1 (en) Method of forming an ultra low dielectric constant film
US6541398B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
JP4272424B2 (ja) 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
CN101006559B (zh) SiCOH膜的DUV激光退火及稳定性
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
JP2008527757A5 (zh)
JP2008530821A (ja) 高度な低誘電率の有機シリコン・プラズマ化学気相堆積膜
US6790789B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US9040411B2 (en) Advanced low k cap film formation process for nano electronic devices
CN101138085A (zh) 具有原位嵌入的纳米层以改善机械性能的低k电介质CVD膜形成工艺

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171101

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

Effective date of registration: 20171101

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121003

Termination date: 20220112

CF01 Termination of patent right due to non-payment of annual fee