CN101263585A - 利用h2添加物对具有高介电常数的膜的选择性蚀刻 - Google Patents

利用h2添加物对具有高介电常数的膜的选择性蚀刻 Download PDF

Info

Publication number
CN101263585A
CN101263585A CNA2006800330734A CN200680033073A CN101263585A CN 101263585 A CN101263585 A CN 101263585A CN A2006800330734 A CNA2006800330734 A CN A2006800330734A CN 200680033073 A CN200680033073 A CN 200680033073A CN 101263585 A CN101263585 A CN 101263585A
Authority
CN
China
Prior art keywords
layer
bcl
etchant gasses
computer
selective etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800330734A
Other languages
English (en)
Inventor
刘身健
琳达·凤鸣·李
安东尼·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101263585A publication Critical patent/CN101263585A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供一种相对于硅基材料选择性蚀刻高k层的方法。该高k层设在蚀刻室内。将蚀刻剂气体提供入该蚀刻室,其中该蚀刻剂气体包括H2。由该蚀刻剂气体生成等离子体以相对于硅基材料选择性蚀刻高k层。

Description

利用H2添加物对具有高介电常数的膜的选择性蚀刻
技术领域
本发明涉及半导体器件。更具体地,本发明涉及具有高介电常数材料层的半导体器件。
背景技术
由于闪存广泛地使用在移动电子设备中,如便携式计算机、移动电话、PDA等,所以,减少运行电压以减小能耗的要求越来越强烈。
ONO(oxide nitride oxide,氧化物-氮化物-氧化物)层已经用于存储器的闪存器件栅叠层(gate stack)。然而,ONO的介电常数不足以满足运行电压的不断增加的要求,因此引入高介电常数材料(或者称为高k材料)以取代ONO。
SiO2的介电常数大约为3.9。如果像Al2O3的高k材料用来取代SiO2,介电常数将增加到9.0左右。除了Al2O3,HfO2、Ta2O3也被考虑作为闪存栅叠层中取代ONO的候选高k材料。在它们中,已经使用了Al2O3、HfO2和Al2O3/HfO2/Al2O3层状结构。
已经发现与蚀刻ONO相比高k材料的蚀刻更加困难,因为其蚀刻副产物具有较低的挥发性。正因为如此,已发现其蚀刻率、对多晶硅膜的选择性比ONO膜低很多。已经做了一些努力来增加高k材料对多晶硅的蚀刻率和选择性。
发明内容
为了实现前述和根据本发明的目的,提供了一种相对于硅基材料选择性蚀刻高k层的方法。将在硅基层之上的该高k层设在蚀刻室内。将蚀刻剂气体提供入该蚀刻室,其中该蚀刻剂气体包括H2。由该蚀刻剂气体生成等离子体以相对于该硅基材料选择性蚀刻该高k层。
在本发明的另一种表现形式中,提供了一种用于蚀刻在硅基层之上具有高k层的叠层(stack)的方法。该叠层设在蚀刻室中。相对于该硅基层选择性蚀刻该高k层。该选择性蚀刻包括将高k层蚀刻剂气体提供入该蚀刻室,其中该高k层蚀刻剂气体包括H2,以及由该高k层蚀刻剂气体生成等离子体,以相对于该硅基层选择性蚀刻该高k层。
在本发明的另一种表现形式中,提供了一种利用在硅基材料之上的高k介电层形成闪存的装置。其提供了等离子体处理室,包括形成等离子体处理室腔的室壁,用于在该等离子体处理室腔中支撑基片的基片支撑件,用于调节该等离子体处理室腔中压力的压力调节器,至少一个用于向该等离子体处理室腔提供功率以维持等离子体的电极,用于将气体提供入该等离子体处理室腔中的气体入口,以及用于从该等离子体处理室腔排出气体的气体出口。气体源与该气体入口流动连接并且包括H2气体源、BCl3气体源和Cl2气体源。控制器与该气体源和该至少一个电极可控制地连接,并且包括至少一个处理器和计算机可读介质。该计算机可读介质包括:用于相对于该硅基层选择性蚀刻该高k层的计算机可读代码,停止相对于该硅基层选择性蚀刻该高k层的计算机可读代码,以及用于相对于该高k层选择性蚀刻该硅基层的计算机可读代码。该用于相对于该硅基层选择性蚀刻该高k层的计算机可读代码包括:用于从该H2气体源提供H2的计算机可读代码,用于从该BCl3气体源提供BCl3的计算机可读代码,用于从该Cl2气体源提供Cl2的计算机可读代码,以及由该H2、BCl3和Cl2生成等离子体以相对于该硅基层选择性蚀刻该高k层的计算机可读代码。
本发明的这些和其它特征将在下面本发明的详细描述中结合附图更详细的说明。
附图说明
本发明将在附图的图形中作为例子说明,而不是作为限制,其中相同的参考标号表示相同的元件,并且其中:
图1是可使用本发明的一个实施方式形成的场效应晶体管的示意图;
图2是用在本发明的一个实施方式中的处理工艺的流程图;
图3A-3D是根据本发明形成的高介电常数层的示意性横截面视图;
图4是可用在本发明的优选实施方式中的处理室的示意图;
图5A和5B说明了适于实现控制器的计算机系统。
图6是用在本发明的另一个实施方式中以形成闪存的处理工艺的流程图;
图7A-7G是根据本发明形成的闪存器件的构成的示意性横截面视图。
具体实施方式
现在将根据如在附图中说明的一些优选实施方式来详细描述本发明。在下面的描述中,阐述了许多具体的细节以提供对本发明的彻底的理解。然而,对于本领域的技术人员来说,显然,没有这些具体细节中的某些或者全部也可以实施本发明。在其它的情况下,公知的工艺步骤和/或结构没有详细描述以避免不必要的混淆本发明。
为了便于理解,图1是场效应晶体管100的示意图。场效应晶体管100包括基片104,源极108和漏极112掺杂在该基片中。在该基片上形成栅极氧化物116。在栅极氧化物116上形成栅极电极120,从而栅极氧化物116在该栅极电极120和该栅极氧化物116下方基片104内的沟道之间形成绝缘体。隔离物124位于栅极电极120和栅极氧化物116的末端。本发明提供了一种选择性的蚀刻,其允许由高介电常数材料形成栅极氧化物116。
在本说明书和权利要求书中,高介电常数材料的介电常数至少为8(K≥8)。
图2是用于形成具有高介电常数层的半导体器件的高层流程图。在基片上提供高介电常数(高k)材料层(步骤204)。可使用原子层沉积、溅射或化学气相沉积来沉积该高介电常数材料层。图3A是已沉积在基片308上的高介电常数层304的示意性横截面视图。该基片是硅基材料。优选地,该硅基材料基本上是多晶硅,其可以是硅晶片的一部分,或者如果该半导体器件是该晶片上方的多个层,则该硅晶片可以是多晶硅。
然后在高k层304上形成多晶硅层312(步骤208)。在多晶硅层312上设置图案化掩模316(如光刻胶掩模)(步骤212)。抗反射涂层314可位于该图案化掩模316和该多晶硅层312之间,以利于该图案化掩模316的形成。然后穿过该掩模蚀刻多晶硅层312(步骤216)。图3B是在多晶硅层312被蚀刻后的示意性的横截面视图。
然后使用H2添加物蚀刻高k层304(步骤220),如图3C所示。希望得到的是高介电常数层304的蚀刻是高选择性的,以便最小化下层基片308和多晶硅层312的蚀刻。在该优选实施方式中,该蚀刻是如此高选择性的以至于在高介电常数层304的蚀刻期间去除少于
Figure A20068003307300101
的基片。
执行离子注入以形成源极区域和漏极区域(步骤224)。图3D是源极区域324和漏极区域328形成后的示意图。由于离子注入高度依赖于基片的特性,为了提供纵贯该晶片均一的源极区域和漏极区域,必须最小化该基片的蚀刻。
由Donnelly,Jr.等人申请的美国专利6,511,872(2003年1月28日颁证)公开了一种在基片上蚀刻高介电常数层的方法。其公开了蚀刻化学制剂BCl3和Cl2。然而,没有公开具有高k介电层对基片高蚀刻选择性的处理工艺。K Pelhos等人的文章“Etching ofhigh-k dielectric Zr1-xAlxOy films in chlorine-containing plasmas”,发表于Journal of Vacuum Science Technology A 19(4),2001年7/8月,1361-1366页,其讨论了相同的蚀刻化学制剂并且也没有公开具有高蚀刻选择性的处理工艺。
在Journal of Vacuum Science Technology A 21(6)2001年7/8月,1915-1922页,Lin Sha和Jane P.Chang的文章“Plasma EtchingSelectivity of ZrO2 to Si in BCl3/Cl2 Plasmas”公开了一种在基片上蚀刻高介电常数层的方法。公开的化学制剂是BCl3、Cl2和5%的Ar。这篇文章声称通过使用纯BCl3达到的最高蚀刻选择性是1.5。希望具有更高的蚀刻选择性以最小化对该基片的蚀刻。
在本发明的优选实施方式中,该高介电常数层可由介电常数至少为8的材料形成,如Hf硅酸盐(K≌11)、HfO2(K≌25-30)、Zr硅酸盐(K≌11-13)、ZrO2(K≌22-28)、Al2O3(K≌8-12)、La2O3(K≌25-30)、SrTiO3(K≌200)、SrZrO3(K≌25)、TiO2(K≌80)和Y2O3(K≌-15),这些都是氧化物。更优选地,该高介电常数层是二元金属氧化物。
图6是用于形成具有高介电常数层的闪存器件的高层流程图。在基片上形成浅沟绝缘区域(步骤604)。图7A是具有三个浅沟绝缘区域708的基片704的示意性横截面视图。
形成栅极氧化物层(步骤608)。图7B显示了在基片704表面之上形成的栅极氧化物层712。该栅极氧化物层712可通过将基片704暴露于氧气而形成。然后在该浅沟绝缘区域708和栅极氧化物712之上沉积第一多晶硅层716。
执行浮置栅极(floating gate)蚀刻以将该第一多晶硅层716蚀刻至如图7C所示的形态(步骤616)。在被蚀刻的第一多晶硅层716之上形成多晶硅间介电层(interpoly dielectric layer,IPD)720。该IPD层720是高k电介质材料。在该IPD层720之上形成第二多晶硅层724(步骤628)。
在该第二多晶硅层上形成掩模(步骤628)。如图所示,图7D是在该第二多晶硅层724之上形成该掩模728之后,图7C的基片704沿切割线7D-7D横截面视图。如图7E所示,掩模728用来蚀刻第二多晶硅层724以获得该叠层构造。
如图7F所示,使用H2添加物蚀刻多晶硅间介电层712(步骤636)。对IPD层712的蚀刻具有挑战性,因为该IPD层厚度会显著变化。例如,将如图7E中所示的IPD层厚度T1与图7C中所示的IPD层的柱体730的厚度T2比较,T2可为T1三倍以上。对IPD层柱体730不完全的蚀刻会形成不期望的纵梁(stringer)。为消除该纵梁的不正确的蚀刻导致对该第一多晶硅层716的蚀刻,这种蚀刻会导致损伤。另外,如果在为消除该IPD层纵梁的不正确的蚀刻期间,第一多晶硅层716被蚀刻穿,那么栅极氧化物层608将受到损伤。使用带有H2添加物的蚀刻允许相对于多晶硅层716如此高选择性地蚀刻高k IPD层720,从而去除这些纵梁而不损伤该闪存结构。然后蚀刻第一多晶硅层716,如图7G(步骤640)所示。优选地,第一多晶硅层716相对于该高k层被有选择地蚀刻。可使用另外的步骤来完成该闪存结构。
高k介电蚀刻的示例
在该高k介电蚀刻的一个示例中,在使用H2添加物的高k层蚀刻(步骤220和636)期间,晶片设置在蚀刻室中。该蚀刻室可用于蚀刻该多晶硅层(步骤216)或者不同的室可用于蚀刻该多晶硅层。
图4是可用在本发明的优选实施方式的处理室400的示意图。在这个实施方式中,该等离子体处理室400包括电感线圈404、下部电极408、气体源410和排气泵420。在等离子体处理室400内,基片308放置在该下部电极408上。下部电极408结合有适合的用于支撑基片308的基片卡紧机构(例如,静电、机械夹具等)。反应器顶部428结合有介电窗。反应器顶部428、室壁452和下部电极408限定受限制的等离子体容积440。由气体源410通过气体入口443向该受限制的等离子体容积提供气体,并且气体由排气泵420从该受限制的等离子体容积排出。排气泵420形成用于该等离子体处理室的气体出口。第一RF源444与线圈404电连接。第二RF源448与下部电极408电连接。在这个实施方式中,第一和第二RF源444、448包括13.56MHz功率源。将RF功率源与这些电极连接的不同组合是可能的。控制器435可控制地与第一RF源444、第二RF源448、排气泵420和气体源410相连接。在这个示例中,该处理室是Versys 2300,由Fremont California的Lam Research公司建造。底部和顶部RF源均提供频率为13.56MHz的功率信号。
图5A和5B说明计算机系统800,其适于实现用于本发明实施方式的控制器435。图5A示出该计算机系统的一种可能的物理形态。当然,该计算机系统可具有许多物理形态,从集成电路、印刷电路板和小的手持设备直到巨型超级计算机。计算机系统800包括监视器802、显示器804、机架806、磁盘驱动器808、键盘810和鼠标812。磁盘814是计算机可读介质,其用于传递数据到计算机系统800和从计算机系统800输出数据。
图5B是计算机系统800的方框图的示例。连接到该系统总线820的是多个子系统。一个或多个处理器822(也称为中央处理单元或CPU)与存储装置连接,包括存储器824。存储器824包括随机存取存储器(RAM)和只读存储器(ROM)。如本领域所公知的,ROM向CPU单向传输数据和指令,而RAM通常用来以双向方式传输数据和指令。这两种类型的存储器可包括下面描述的任何适合的计算机可读介质。固定式磁盘826也双向地与CPU 822连接;其提供额外的数据存储能力并且也包括下面描述的任何计算机可读介质。固定式磁盘826可用来存储程序、数据等,并且通常是次级存储介质(如硬盘),其比主存储器储慢。可以理解的是在适当的情况下,保留在固定式磁盘826中的信息可以如虚拟内存的标准方式并入存储器824。可移动磁盘814可以采用下面描述的任何计算机可读介质的形式。
CPU 822也与多个输入/输出装置连接,如显示器804、键盘810、鼠标812和扬声器830。通常,输入/输出设备可以是下面的任意一种:视频显示器、跟踪球、鼠标、键盘、麦克风、触摸屏、转换读卡器、磁带或纸带读取器、书写板、手写笔、语音或手写识别器、生物测定读取器或其它计算机。CPU 822可选地通过网络接口840与另一台计算机或电信网络连接。利用这种网络接口,能够预期CPU可在执行上述方法步骤期间从网络接收信息或者向网络输出信息。此外,本发明的方法实施方式可独自在CPU 822上执行或者在如Internet的网络上与共享该处理一部分的远程CPU一起执行。
另外,本发明的实施方式进一步涉及计算机存储产品,这些产品具有计算机可读介质,其上具有计算机代码以用于执行多种计算机实现的操作。该介质和计算机代码可以是那些为本发明的目的特别设计和编制的,或者它们可以是公知的类型并且对于计算机软件领域的技术人员来说是可以得到的。计算机可读介质的例子包括,但不限于:磁介质,如硬盘、软盘和磁带;光学介质,如CD-ROM和全息装置;磁光介质,如光磁软盘;以及硬件设备,其特别地配置为存储和执行程序代码,如专用集成电路(ASIC),可编程逻辑器件(PLD)和ROM以及RAM装置。计算机代码的例子包括如由编译器产生的机器代码和包含高级代码的文件,这些高级代码可由计算机使用解释程序来执行。计算机可读介质还可以是由计算机数据信号传输的计算机代码,这些信号体现在载波中并且表示一系列可由处理器执行的指令。
从气体源410向该等离子体容积区域提供由BCl3和惰性稀释剂、Cl2和H2添加物组成的蚀刻气体。惰性稀释剂可以是任何惰性气体,如氖气、氩气或氙气。更优选地,惰性稀释剂是氩气。所以,气体源410可包括BCl3源412、Cl2源414、H2源415和氩气源416。该控制器435可以控制多种气体的流率。
在该示例中,蚀刻气体基本上是由BCl3、Cl2、Ar、CxHy和H2组成。优选地,总的气体流量是5-1000sccm,其中Cl2与BCl3的体积比是0-2∶1,H2与BCl3的体积比是0.2-5∶1,以及CxHy与BCl3的体积比为0-0.5∶1,并且氩或其它惰性气体的流量在0-500sccm之间。带有大约200%过蚀刻来进行该蚀刻,并且在这之后多晶硅的损失大约为高k材料的厚度大约是
Figure A20068003307300152
所以200%的过蚀刻等于
Figure A20068003307300153
的高k介电蚀刻。基于此,高k对多晶硅的蚀刻选择性大约估算为5。
在该示例中,高k电介质是Al2O3,其在多晶硅之上。气体源410向处理室提供包括BCl3、氩气、Cl2和H2添加物的蚀刻剂气体。在蚀刻期间,晶片保持在20°-80℃温度。尽管其它方法可要求高温(其要求加热)以提供选择性蚀刻,本发明可不加热该晶片而执行,这就防止了对该晶片的热损伤。另外,较低的温度比那些要求加热晶片的方法产生的问题较少。控制器435控制排气泵448和气体源410以控制室压力。在蚀刻期间,室压力保持在2-20mTorr之间。
DC偏置可应用于下部电极。优选地,DC偏置的绝对值在0-300伏特之间。更优选地,该DC偏置的绝对值小于50伏特。优选地,上部RF源通过线圈404向蚀刻室提供200-1400瓦特(TCP)、频率大约为13.56MHz的功率。其结果是,提供的等离子体密度为109-1011离子/cm3
惰性气体添加物的作用是增加溅射,从而在该蚀刻期间不形成剩余物。惰性气体稀释剂的另一种作用是提高蚀刻率均一性。
BCl3对Cl2的比率允许Cl2清除来自BCl3的沉积物,其阻止了锥形蚀刻中脚部(footer)的形成,并且没有显著地牺牲选择性。
不希望受限于理论,还确信,使用较低的室压力和高TCP导致BCl3和BCl2 +的高解离。进一步确信,该更进一步解离的物质提供需要的蚀刻。
确信该H2添加物既增加了Al2O3蚀刻率又减少了多晶硅蚀刻率。不受到理论的限制,确信该H2添加物促进Al2O3解离成Al3 +和O2-以增加该高k电介质的蚀刻率。另外,H2在该多晶硅表面形成钝化以减少该多晶硅的蚀刻率。
利用该创新性的H2添加物的试验,已发现将Al2O3对多晶硅的选择性增加至大于3∶1,更优选地大于5∶1。一个试验发现的选择性为48.7∶1。
利用该创新性的H2添加物的试验,已发现增加的蚀刻率在
Figure A20068003307300161
分钟之间。更优选地,该创新性的高常数层蚀刻能够提供在
Figure A20068003307300162
分钟之间的蚀刻率。在一个试验中,该高k电介质的蚀刻率达到
Figure A20068003307300163
分钟。试验发现该H2添加物提供了Al2O3的7%的增加以及选择性的50%的增加。如果VDC低,那么利用H2添加物的选择性增加是更加期待的。
本发明也意想不到地提供了良好的蚀刻均一性。本发明提供相对于硅基材料对高k电介质的选择性蚀刻。优选地,该硅基材料至少是如晶体硅和多晶硅,以及氮化硅的至少一种硅。更优选地,该硅基材料是如在多晶硅上的晶体硅。发现硅氧化物具有低选择性。优选地,该高k电介质是二元金属氧化物。
尽管根据多个优选实施方式描述本发明,但是存在落入本发明的范围之内的替换,置换,修改和各种替代等同物。还应当注意到,存在许多实现本发明的方法和装置的备选方法。所以,所附的权利要求应当解释为包括落入本发明的主旨和范围之内的所有这些替换、置换、修改和各种替代等同物。
权利要求书(按照条约第19条的修改)
1. 一种用于相对于硅基材料选择性蚀刻高k层的方法,包括:
将所述高k层设在蚀刻室中;
将蚀刻剂气体提供入所述蚀刻室,其中,所述蚀刻剂气体包括H2和BCl3;以及
由所述蚀刻剂气体生成等离子体,以相对于所述硅基材料选择性蚀刻所述高k层。
2. 根据权利要求1所述的方法,其中,所述高k介电层是氧化物层。
3. 根据权利要求1-2中任一项所述的方法,其中,所述蚀刻剂气体进一步包括含卤素组分。
4. 根据权利要求1-3中任一项所述的方法,其中,所述蚀刻剂气体进一步包括稀有气体。
5. 根据权利要求1所述的方法,其中,所述蚀刻剂气体进一步包括惰性气体。
6. 根据权利要求5所述的方法,其中,所述蚀刻剂气体具有在0.2-5∶1之间的H2与BCl3的体积流量比。
7. 根据权利要求5-6中任一项所述的方法,其中,所述蚀刻剂气体具有小于500sccm的惰性气体体积流量。
8. 根据权利要求5-7中任一项所述的方法,其中,所述蚀刻剂气体进一步包括Cl2
9. 根据权利要求8所述的方法,其中,所述蚀刻剂气体具有在0-0.5∶1之间的Cl2与BCl3的体积流量比。
10. 根据权利要求1所述的方法,其中,所述蚀刻剂气体进一步包括Cl2
11. 根据权利要求10所述的方法,其中,所述蚀刻剂气体具有在0.2-5∶1之间的H2与BCl3的体积流量比。
12. 根据权利要求10-11中任一项所述的方法,其中,所述蚀刻剂气体具有在0-0.5∶1之间的Cl2与BCl3的体积流量比。
13. 根据权利要求1-12中任一项所述的方法,其中,所述硅基材料是硅和氮化硅中的至少一种,以及其中,所述高k层是Hf硅酸盐、HfO2、Zr硅酸盐、ZrO2、Al2O3、La2O3、SrTiO3、SrZrO3、TiO2和Y2O3中的至少一种。
14. 根据权利要求1-13中任一项所述的方法,其中,所述硅基材料形成层,进一步包括在选择性蚀刻所述高k层之后蚀刻所述硅基材料层。
15. 一种由权利要求1-14中任一项所述的方法形成的半导体器件。
16. 一种用于蚀刻在硅基层之上具有高k层的叠层的方法,包括:
将所述叠层设在蚀刻室内;
相对于所述硅基层选择性蚀刻所述高k层,包括:
将高k层蚀刻剂气体提供入所述蚀刻室,其中,所述高k层蚀刻剂气体包括H2和BCl3;以及
由所述高k层蚀刻剂气体生成等离子体,以相对于所述硅基层选择性蚀刻所述高k层;
停止所述选择性蚀刻所述高k层;以及
相对于所述高k层选择性蚀刻所述硅基层。
17. 根据权利要求16所述的方法,其中,所述高k层蚀刻剂气体进一步包括Cl2,以及其中,所述硅基层由硅基材料形成,所述硅基材料包括硅和氮化硅中的至少一种。
18. 根据权利要求17所述的方法,其中,所述高k层蚀刻剂气体具有在0.2-5∶1之间的H2与BCl3的体积流量比,以及其中,
所述硅基材料是硅。
19. 根据权利要求17-18中任一项所述的方法,其中,所述高k层蚀刻剂气体具有在0-0.5∶1之间的Cl2与BCl3的体积流量比。
20. 一种用于利用在硅基层之上的高k介电层形成闪存的装置,包括:
等离子体处理室,包括:
形成等离子体处理室腔的室壁;
用于在所述等离子体处理室腔中支撑基片的基片支撑件;
用于调节所述等离子体处理室腔中压力的压力调节器;
用于向所述等离子体处理室腔提供功率以维持等离子体的至少一个电极;
用于将气体提供到所述等离子体处理室腔中的气体入口;以及
用于从所述等离子体处理室腔排出气体的气体出口;
气体源,其与所述气体入口流体连接,包括:
H2气体源;
BCl3气体源;以及
Cl2气体源;
可控地与所述气体源和所述至少一个电极连接的控制器,包括:
至少一个处理器;以及
计算机可读介质,其包括:
用于相对于所述硅基层选择性蚀刻所述高k层的计算机可读代码,包括:
用于从所述H2气体源提供H2的计算机可读代码;
用于从所述BCl3气体源提供BCl3的计算机可读代码;
用于从所述Cl2气体源提供Cl2的计算机可读代码;以及
用于由所述H2、BCl3和Cl2生成等离子体以相对于所述硅基层选择性蚀刻所述高k层的计算机可读代码;
用于停止所述相对于所述硅基层选择性蚀刻所述高k层的计算机可读代码;以及
用于相对于所述高k层选择性蚀刻所述硅基层的计算机可读代码。

Claims (20)

1. 一种用于相对于硅基材料选择性蚀刻高k层的方法,包括:
将所述高k层设在蚀刻室中;
将蚀刻剂气体提供入所述蚀刻室,其中所述蚀刻剂气体包括H2;以及
由所述蚀刻剂气体生成等离子体,以相对于所述硅基材料选择性蚀刻所述高k层。
2. 根据权利要求1所述的方法,其中所述高k介电层是氧化物层。
3. 根据权利要求1-2中任一项所述的方法,其中所述蚀刻剂气体进一步包括含卤素组分。
4. 根据权利要求1-3中任一项所述的方法,其中所述蚀刻剂气体进一步包括稀有气体。
5. 根据权利要求1所述的方法,其中所述蚀刻剂气体进一步包括BCl3和惰性气体。
6. 根据权利要求5所述的方法,其中所述蚀刻剂气体具有在0.2-5∶1之间的H2与BCl3体积流量比。
7. 根据权利要求5-6中任一项所述的方法,其中所述蚀刻剂气体具有小于500sccm的惰性气体的体积流量。
8. 根据权利要求5-7中任一项所述的方法,其中所述蚀刻剂气体进一步包括Cl2
9. 根据权利要求8所述的方法,其中所述蚀刻剂气体具有在0-0.5∶1之间的Cl2与BCl3的体积流量比。
10. 根据权利要求1所述的方法,其中所述蚀刻剂气体进一步包括BCl3和Cl2
11. 根据权利要求10所述的方法,其中所述蚀刻剂气体具有在0.2-5∶1之间的H2与BCl3的体积流量比。
12. 根据权利要求10-11中任一项所述的方法,其中所述蚀刻剂气体具有在0-0.5∶1之间的Cl2与BCl3的体积流量比。
13. 根据权利要求1-12中任一项所述的方法,其中所述硅基材料是硅和氮化硅中的至少一种,以及其中所述高k层是Hf硅酸盐、HfO2、Zr硅酸盐、ZrO2、Al2O3、La2O3、SrTiO3、SrZrO3、TiO2和Y2O3中的至少一种。
14. 根据权利要求1-13中任一项所述的方法,其中所述硅基材料形成层,进一步包括在选择性蚀刻所述高k层之后蚀刻所述硅基材料层。
15. 一种由权利要求1-14中任一项所述的方法形成的半导体器件。
16. 一种用于蚀刻在硅基层之上具有高k层的叠层的方法,包括:将所述叠层设在蚀刻室内;
相对于所述硅基层选择性蚀刻所述高k层,包括:
将高k层蚀刻剂气体提供入所述蚀刻室,其中所述高k层蚀刻剂气体包括H2;以及
由所述高k层蚀刻剂气体生成等离子体,以相对于所述硅基层选择性蚀刻所述高k层;
停止所述选择性蚀刻所述高k层;以及
相对于所述高k层选择性蚀刻所述硅基层。
17. 根据权利要求16所述的方法,其中所述高k层蚀刻剂气体进一步包括BCl3和Cl2,以及其中所述硅基层由硅基材料形成,所述硅基材料包括硅和氮化硅的至少一种。
18. 根据权利要求17所述的方法,其中所述高k层蚀刻剂气体具有在0.2-5∶1之间的H2与BCl3的体积流量比,以及其中所述硅基材料是硅。
19. 根据权利要求17-18中任一项所述的方法,其中所述高k层蚀刻剂气体具有在0-0.5∶1之间的Cl2与BCl3的体积流量比。
20. 一种用于利用在硅基层之上的高k介电层形成闪存的装置,包括:
等离子体处理室,包括:
形成等离子体处理室腔的室壁;
用于在所述等离子体处理室腔中支撑基片的基片支撑件;
用于调节所述等离子体处理室腔中压力的压力调节器;
至少一个用于向所述等离子体处理室腔提供功率以维持等离子体的电极;
用于向所述等离子体处理室腔中提供气体的气体入口;以及
用于从所述等离子体处理室腔排出气体的气体出口;气体源,其与所述气体入口流动连接,包括:
H2气体源;
BCl3气体源;以及
Cl2气体源;
可控制地与所述气体源和所述至少一个电极连接的控制器,包括:
至少一个处理器;以及
计算机可读介质,其包括:
用于相对于所述硅基层选择性蚀刻所述高k层的计算机可读代码,包括:
用于从所述H2气体源提供H2的计算机可读代码;
用于从所述BCl3气体源提供BCl3的计算机可读代码;
用于从所述Cl2气体源提供Cl2的计算机可读代码;以及
用于由所述H2、BCl3和Cl2生成等离子体以相对于所述硅基层选择性蚀刻所述高k层的计算机可读代码;
用于停止相对于所述硅基层选择性蚀刻所述高k层的计算机可读代码;以及
用于相对于所述高k层选择性蚀刻所述硅基层的计算机可读代码。
CNA2006800330734A 2005-09-09 2006-09-06 利用h2添加物对具有高介电常数的膜的选择性蚀刻 Pending CN101263585A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/223,780 US20070056925A1 (en) 2005-09-09 2005-09-09 Selective etch of films with high dielectric constant with H2 addition
US11/223,780 2005-09-09

Publications (1)

Publication Number Publication Date
CN101263585A true CN101263585A (zh) 2008-09-10

Family

ID=37728216

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800330734A Pending CN101263585A (zh) 2005-09-09 2006-09-06 利用h2添加物对具有高介电常数的膜的选择性蚀刻

Country Status (6)

Country Link
US (1) US20070056925A1 (zh)
JP (1) JP2009508334A (zh)
KR (1) KR20080046653A (zh)
CN (1) CN101263585A (zh)
TW (1) TW200729339A (zh)
WO (1) WO2007030522A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108548892A (zh) * 2012-10-01 2018-09-18 台湾积体电路制造股份有限公司 识别气态分子污染源的方法
US11276699B2 (en) 2017-10-30 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7847341B2 (en) 2006-12-20 2010-12-07 Nanosys, Inc. Electron blocking layers for electronic devices
US8686490B2 (en) * 2006-12-20 2014-04-01 Sandisk Corporation Electron blocking layers for electronic devices
US20080150004A1 (en) * 2006-12-20 2008-06-26 Nanosys, Inc. Electron Blocking Layers for Electronic Devices
US20080150003A1 (en) * 2006-12-20 2008-06-26 Jian Chen Electron blocking layers for electronic devices
US20080242072A1 (en) * 2007-03-26 2008-10-02 Texas Instruments Incorporated Plasma dry etch process for metal-containing gates
KR101566029B1 (ko) * 2008-04-10 2015-11-05 램 리써치 코포레이션 High-k 유전체 재료의 선택적 에칭
EP2326432A2 (en) * 2008-09-16 2011-06-01 Koninklijke Philips Electronics N.V. Capacitive micromachined ultrasound transducer
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
JP6163446B2 (ja) * 2014-03-27 2017-07-12 株式会社東芝 半導体装置の製造方法
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6604738B2 (ja) * 2015-04-10 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法、パターン形成方法及びクリーニング方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US20180323039A1 (en) * 2017-05-05 2018-11-08 Applied Materials, Inc. Active far edge plasma tunability
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI836030B (zh) * 2019-03-14 2024-03-21 美商蘭姆研究公司 使用低壓力低偏壓氘電漿的選擇性二氧化矽移除

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6911707B2 (en) * 1998-12-09 2005-06-28 Advanced Micro Devices, Inc. Ultrathin high-K gate dielectric with favorable interface properties for improved semiconductor device performance
US6511872B1 (en) * 2001-07-10 2003-01-28 Agere Systems Inc. Device having a high dielectric constant material and a method of manufacture thereof
US7217665B2 (en) * 2002-11-20 2007-05-15 Applied Materials, Inc. Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
US6858514B2 (en) * 2002-03-29 2005-02-22 Sharp Laboratories Of America, Inc. Low power flash memory cell and method
US7094704B2 (en) * 2002-05-09 2006-08-22 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
WO2004109772A2 (en) * 2003-05-30 2004-12-16 Tokyo Electron Limited Method and system for etching a high-k dielectric material
US7303996B2 (en) * 2003-10-01 2007-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack plasma treatment to adjust threshold voltage characteristics
US7303855B2 (en) * 2003-10-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Photoresist undercoat-forming material and patterning process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108548892A (zh) * 2012-10-01 2018-09-18 台湾积体电路制造股份有限公司 识别气态分子污染源的方法
US11276699B2 (en) 2017-10-30 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US11665897B2 (en) 2017-10-30 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Improving surface topography by forming spacer-like components

Also Published As

Publication number Publication date
WO2007030522B1 (en) 2007-07-12
KR20080046653A (ko) 2008-05-27
US20070056925A1 (en) 2007-03-15
TW200729339A (en) 2007-08-01
WO2007030522A2 (en) 2007-03-15
WO2007030522A3 (en) 2007-05-03
JP2009508334A (ja) 2009-02-26

Similar Documents

Publication Publication Date Title
CN101263585A (zh) 利用h2添加物对具有高介电常数的膜的选择性蚀刻
US9646844B2 (en) Method for forming stair-step structures
JP4777337B2 (ja) エッチング方法及び装置
CN101506939B (zh) 在斜面蚀刻处理期间避免低k损伤
KR101476477B1 (ko) 딥 실리콘 에칭에서의 마스크 언더컷의 최소화
TWI545648B (zh) 擬硬遮罩用之擺動控制
CN101584027B (zh) 减少刻蚀过程中的线路末端缩短
US20040007561A1 (en) Method for plasma etching of high-K dielectric materials
TWI409857B (zh) 鰭片結構形成
CN102027577B (zh) 选择性的感应双图案化
CN101730930A (zh) 在蚀刻高纵横比结构中降低微负载的方法
CN101855706A (zh) 使用氧化物垫片减小节距
CN101779277A (zh) 具有arl蚀刻的掩模修整
KR101605005B1 (ko) Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
CN102194686A (zh) 等离子体蚀刻方法和等离子体蚀刻装置
KR20140056068A (ko) 텅스텐 에칭의 방법
CN101556920B (zh) 高k介电材料的选择性蚀刻
KR101888217B1 (ko) 계단형 구조들을 형성하는 방법
JP2007528610A (ja) ラインエッジラフネス制御
JP6821292B2 (ja) タングステン含有層をエッチングする方法
WO2005071722A1 (en) Selective etch of films with high dielectric constant
USRE46464E1 (en) Method for forming stair-step structures

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20080910