CN101107383A - 改善低k叠层之间粘附性的界面工程 - Google Patents

改善低k叠层之间粘附性的界面工程 Download PDF

Info

Publication number
CN101107383A
CN101107383A CNA2006800025996A CN200680002599A CN101107383A CN 101107383 A CN101107383 A CN 101107383A CN A2006800025996 A CNA2006800025996 A CN A2006800025996A CN 200680002599 A CN200680002599 A CN 200680002599A CN 101107383 A CN101107383 A CN 101107383A
Authority
CN
China
Prior art keywords
silicon oxide
oxide layer
layer
flow rate
atom
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800025996A
Other languages
English (en)
Other versions
CN100594259C (zh
Inventor
迪奈什·帕德海
干纳施·巴拉苏布拉马尼恩
安纳马莱·拉克师马纳
崔振江
均·卡洛斯·若彻-阿勒圭瑞
金博宏
海澈姆·穆萨德
史蒂文·雷特尔
福兰斯马尔·斯楚弥特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101107383A publication Critical patent/CN101107383A/zh
Application granted granted Critical
Publication of CN100594259C publication Critical patent/CN100594259C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Abstract

本发明提供了一种在不存在等离子体电弧放电的条件下沉积有机硅电介质层的方法,所述有机硅电介质层对设置在单个处理室中的下方衬底具有高粘附强度。该方法包括:将衬底定位在具有通电电极的处理室中;将界面气体混合物流入所述处理室,所述界面气体混合物包含一种或更多种有机硅化合物和一种或更多种氧化气体;通过改变工艺参数,将氧化硅层沉积在所述衬底上,其中所述通电电极的DC偏压的变化小于60伏特。

Description

改善低k叠层之间粘附性的界面工程
技术领域
本发明的实施方式一般性地涉及集成电路的制造。更具体地,本发明的实施方式涉及在衬底上沉积有机硅酸盐层的方法。
背景技术
在集成电路的制造中,等离子体工艺被越来越多地用来取代热工艺。与热处理相比,等离子体处理具有若干个优点。例如,等离子体增强化学气相沉积(PECVD)可使沉积工艺在大大低于类似的热工艺所需温度的温度下进行。对于热预算要求严格的工艺,例如在特大规模或超大规模集成电路(VLSI或ULSI)器件制造中,这是有利的。
然而,集成电路制造中的等离子体处理所遇到的一个问题是,由于器件暴露于非均匀的等离子体条件,例如通过改变工艺条件所引起的电场梯度,会发生器件损坏。尽管器件损坏的易发性或程度通常至少部分地依赖于器件制造的阶段和器件类型,但是器件的很多种类型和许多个阶段均会遭受等离子体致损坏(PID)。例如,由于表面电荷的积累以及在处理过程中形成电势梯度,其上沉积有阻挡层或电介质层的衬底更容易受到PID。此外,随着器件尺寸变得越来越小并且电介质层变得越来越薄,器件越来越容易受到PID。
为了进一步减小集成电路上的器件尺寸,需要使用低电阻率的导电材料和低介电常数(低k)的绝缘体,以减少相邻金属线之间的电容耦合。形成低k电介质层的方法包括将有机硅酸盐前驱体气体进行PECVD以形成有机硅酸盐电介质层,例如掺杂碳的氧化硅膜。本领域的一个挑战是开发具有低k值而且对下方的衬底或相邻的电介质扩散阻挡层材料呈现出期望的粘附性质的掺杂碳的氧化硅电介质膜,其中电介质扩散阻挡层材料包括硅、二氧化硅、碳化硅、氮化硅、掺杂氧的碳化硅、钛、氮化钛、钽、氮化钽、钨、铝、铜及其组合。粘附性不够可能导致低k电介质层从下方衬底层离以及器件的潜在失效。一种提高掺杂碳的氧化硅膜的方法是在掺杂碳的氧化硅层与下方的阻挡层之间插入氧化硅薄膜。然而,该氧化硅薄层必须是组合的电介质膜的一小部分,以保持介电常数的明显下降。此外,在沉积掺杂碳的氧化硅层之前沉积氧化硅薄层较大地增加了处理时间,除非这些层在相同的室内顺序沉积。当沉积条件变化时,顺序沉积导致等离子体电弧放电。等离子体电弧放电损坏了衬底表面并有效地抵消了在相同的室中沉积氧化硅薄膜和掺杂碳的氧化硅层的优点。
因此,需要一种沉积对下方衬底具有高粘附强度的有机硅酸盐电介质层而不存在等离子体电弧放电的工艺。
发明内容
本发明一般性地提供了沉积有机硅酸盐电介质层的方法,该方法包括:在不存在等离子体电弧放电的条件下,在相同的处理室中顺序沉积具有低碳含量的氧化硅层和具有低介电常数的掺杂碳的氧化硅层。在一种实施方式中,沉积有机硅酸盐电介质层的方法包括:在第一沉积条件(其中,对通电电极(例如喷淋头)施加高频RF(HFRF)偏压)下,使包含一种或更多种有机硅化合物和一种或更多种氧化气体的界面气体混合物通过气体分布板(例如喷淋头),流至衬底表面,以沉积碳含量小于约3原子%的氧化硅界面层;然后在将过渡层沉积在所述界面层上的同时,提高所述一种或更多种有机硅化合物的流率;然后流入最终气体混合物,以沉积碳含量为至少10原子%的掺杂碳的氧化硅层。本文所述的改变工艺条件实质上是在处理过程中将所述通电电极的DC偏压的变化减小到小于60伏特。
在另一种实施方式中,沉积有机硅酸盐电介质层的方法包括:在提高所述一种或更多种有机硅化合物(例如OMCTS、TMCTS)的流率的同时,增大低频RF(LFRF)功率,以在其间沉积所述过渡层。在一个方面,LFRF功率以约15-45W/sec的递增速率增大。在另一个方面,有机硅化合物是八甲基环四硅氧烷(OMCTS),并且OMCTS的流率是以约300-5000mg/min/sec的递增速率提高。
在另一种实施方式中,沉积有机硅酸盐电介质层的方法包括:在包括对气体分布板施加的HFRF偏压的第一沉积条件下,使包含一定流率的八甲基环四硅氧烷(OMCTS)和一定流率的氧气(OMCTS∶O2的摩尔流率比小于约0.1)的界面气体混合物通过所述气体分布板流至衬底表面,以沉积碳含量小于约1原子%的氧化硅界面层;然后在以约15-45W/sec的递增速率增大对所述气体分布板施加的LFRF功率的同时,以约300-5000mg/min/sec的递增速率提高OMCTS的流率,以在所述界面层上沉积过渡层,其中所述气体分布板的DC偏压变化小于60伏特;然后流入最终气体混合物,以沉积碳含量为至少10原子%的掺杂碳的氧化硅层。
附图说明
为了能够详细理解本发明的上述特点,以下通过参考附图所示的实施方式对本发明进行更具体的描述。然而应当注意到,附图仅说明了本发明的典型实施方式,因而不应看作是对其范围的限制,本发明可容许其他等同有效的实施方式。
图1为示出了根据本发明的一种实施方式的第一种方法的工艺流程图;
图2为根据本发明的实施方式形成的有机硅酸盐电介质层的剖面图;
图3为可用于实施本发明的实施方式的示例性处理室的剖面图;
图4为示出了根据本发明的另一种实施方式的第二种方法的工艺流程图;
图5为示出了根据本发明的另一种实施方式的第三种方法的工艺流程图;
图6示出了粘附能(J/m2)作为OMCTS∶O2的摩尔流率比的函数的关系;
图7示出了OMCTS∶O2的摩尔流率比的提高增大了沉积层的碳含量;
图8为表明在本发明的一种实施方式中得到的DC偏压变化小于30伏特的DC偏压曲线。
发明详述
本发明的实施方式提供了在不存在电弧放电的条件下沉积对下方的衬底、含碳氧化硅层和碳化硅层具有高粘附强度的有机硅酸盐电介质层。通常,在沉积有机硅电介质层的过程中改变一种或多种工艺条件,以使对衬底的等离子体致损坏(PID)最小化。
在一种实施方式中,沉积具有高粘附强度的有机硅酸盐电介质层的方法包括:在将有机硅电介质层沉积在设置在处理室中的衬底上时,改变处理室中的处理气体的组成,以使对衬底的PID最小化。在沉积过程中改变处理气体的组成可以提供具有经组成改性的初始层(即,界面层或起始层)的有机硅酸盐电介质层,从而提供对下方衬底的良好粘附性。
图1为示出了根据本发明的第一种实施方式沉积有机硅酸盐电介质层的方法的工艺流程图。在步骤101中,将衬底定位在能够进行PECVD的处理室中的衬底支座上。在步骤103中,将组成包括一种或更多种有机硅化合物和一种或更多种氧化气体的界面气体混合物通过气体分布板(例如喷淋头)引入处理室。在步骤105中,对电极(例如所述喷淋头)施加高频射频(HFRF)功率,从而在处理室中提供等离子体处理条件。在HFRF的存在下,界面气体混合物在处理室中反应,以沉积与下方衬底牢固粘附的界面层,所述界面层包括碳含量小于3原子%、优选小于1原子%(不包括氢)的氧化硅层。在步骤107中,在HFRF功率的存在下,以约300-5000mg/min/sec的递增速率提高所述一种或更多种有机硅化合物的流率,以沉积过渡层,直至得到预定的最终气体混合物。为了避免PID,进行流率递增速率条件,以使气体分布板的DC偏压变化小于60伏特,优选小于30伏特。在得到预定的最终气体混合物之后,在HFRF功率的存在下,组成包括所述一种或更多种有机硅化合物的最终气体混合物在处理室中反应,以沉积包括碳含量为至少10原子%的掺杂碳的氧化硅层的最终层。在步骤111中,终止HFRF功率。在HFRF功率终止过程中,例如通过不开启室节流阀来保持室压力。
图2示意性地示出了根据本发明的实施方式形成的有机硅酸盐电介质层的剖面图。有机硅酸盐电介质层210被沉积在下方层(例如阻挡层)220上,该下方层位于设置在能够进行PECVD的处理室中的衬底的表面。形成包含一定流率的一种或更多种有机硅化合物的界面气体混合物的等离子体,以沉积碳含量小于3原子%、优选小于1原子%并且与下方层220牢固粘附的氧化硅界面层230,如以上关于图1的步骤103和105所述。界面层230被沉积至约5-100、优选约20-60的厚度。在沉积界面层230之后,将所述一种或更多种有机硅化合物的流率逐步增加至预定的最终气体混合物,以使气体分布板的DC偏压的变化小于60伏特,从而避免PID。在逐步增加所述一种或更多种有机硅化合物的流率的同时,将过渡层240沉积在界面层230上,如以上关于图1的步骤107所述。随着沉积进行,碳浓度增大,同时气体混合物的组成在沉积过渡层的过程中变化直至得到最终气体混合物。过渡层240被沉积至约10-300、优选约100-200的厚度。在达到最终气体混合物组成之后,形成包含一定流率的一种或更多种有机硅化合物的最终气体混合物的等离子体,以沉积碳含量为至少约10原子%的掺杂碳的氧化硅层250,直至期望的厚度,如上关于图1的步骤109所述。优选地,掺杂碳的氧化硅层250包含的碳浓度范围为约10-40原子%,更优选约20-30原子%。掺杂碳的氧化硅层250被沉积至约200-10000的厚度,直到HFRF功率在步骤111中终止。通过膜结构的元素分析确定沉积层的碳含量。碳含量由沉积膜中的碳原子百分比表示,所述百分比不考虑难以定量的氢原子。例如,对于具有平均一个硅原子、一个氧原子、一个碳原子和两个氢原子的膜,其碳含量为20原子%(一个碳原子/总共五个原子),或者,碳含量为33原子%(除氢原子之外)(一个碳原子/总共三个原子)。
图3示出了用于沉积掺杂碳的氧化硅层的化学气相沉积(CVD)室300的剖面示意图。此图是以应用材料公司目前制造的Producer室的特征为基础。ProducerCVD室(200mm或300mm)具有两个独立的处理区,可用于沉积掺杂碳的硅氧化物和其它材料。具有两个独立处理区的室在美国专利No.5855681(通过引用结合在本文中)中有所描述。
室300具有限定独立处理区318、320的室体302。每个处理区318、320具有支座328,用于在室300中支撑衬底(未示出)。支座328通常包括加热元件(未示出)。优选地,支座328通过柱杆326可移动地设置在每个处理区318、320中,柱杆326延伸通过室体302的底部,其与驱动系统303相连接。支座328内部优选具有可移动的提升销(未示出),从而与衬底的下表面接合。提升销与提升机构(未示出)结合,以在处理前接收衬底,或在沉积后提升衬底以转移至下一个工作台。
每个处理区318、320还优选包括穿过室盖304设置的气体分布组件308,以将气体输送至处理区318、320中。每个处理区的气体分布组件308通常包括穿过歧管348的进气通道340,以将来自气体分布歧管319的气体输送通过阻挡板346然后通过喷淋头342。喷淋头342包括多个喷嘴(未示出),在处理过程中,气体混合物通过这些喷嘴喷射。RF(射频)源325向喷淋头342提供偏压,以促进在喷淋头与支座328之间产生等离子体。在等离子体增强化学气相沉积工艺中,支座328可以作为在室体302内产生RF偏压的阴极。阴极与电极功率源电耦合,以在沉积室300中产生电容性电场。通常,对阴极施加RF电压,而将室体302电接地。施加至支座328的功率生成了以衬底上表面的负电压形式的衬底偏压。此负电压用于将室300中形成的等离子体的离子吸引到衬底的上表面。电容性电场形成了偏压,该偏压加速感应形成的等离子体物质朝向衬底,以使沉积过程中的衬底成膜和清洁过程中的衬底蚀刻具有更垂直定向的各向异性。
在处理过程中,处理气体在整个衬底表面沿径向均匀分布。通过对作为通电电极的喷淋头342施加RF功率源325的RF能量,由一种或更多种处理气体或气体混合物形成等离子体。当衬底暴露于等离子体并且在其中提供反应性气体时,发生膜的沉积。室壁312通常接地。RF功率源325可以向喷淋头346供给单频或混频RF信号,以促进被引入处理区318、320的任何气体的分解。
系统控制器334控制各个部件(例如RF功率源325、驱动系统303、提升机构、气体分布歧管319)的功能以及其它相关的室功能和/或处理功能。系统控制器334执行存储在存储器338(在优选实施方式中为硬盘驱动器)的系统控制软件,并可包括模拟和数字输入/输出板、界面板和步进电动机控制器板。通常使用光传感器和/或磁传感器来移动和确定可移动机械组件的位置。
上述对CVD系统的描述主要用于说明性目的,也可以采用其它等离子体处理室来实施本发明。
图4为示出了可使用如图3所示的处理室来进行的本发明的第二种实施方式的工艺流程图。在图4所示的实施方式中,引入了在沉积期间提供LFRF功率的附加步骤,从而调节有机硅酸盐电介质层的应力。本工艺开始于步骤401,将衬底定位在能够进行PECVD的处理室中的衬底支座上。在步骤403中,通过喷淋头将组成包括一定流率的一种或更多种有机硅化合物和一定流率的一种或更多种氧化气体的界面气体混合物引入室中。在步骤405中,对喷淋头施加HFRF功率,以在室中提供等离子体处理条件。在施加于喷淋头的HFRF的存在下,界面气体混合物在室中反应,以沉积与下方衬底牢固粘附的界面层,所述界面层包括碳含量小于3原子%、优选小于1原子%的氧化硅层。在步骤407中,以约300-5000mg/min/sec的递增速率提高所述一种或更多种有机硅化合物的流率,直至得到预定的最终气体混合物。在HFRF的存在下提高所述一种或更多种有机硅化合物的流率,而同时在步骤409将LFRF功率从约0W的初始设定值提高到在步骤411中沉积最终层时使用的最终设定值。
改变工艺的沉积条件(例如,气体混合物组成、RF频率和功率),以确保喷淋头的DC偏压的变化小于60伏特,从而避免PID。LFRF功率的递增速率优选为约15-45W/sec。在步骤411得到预定的最终气体混合物之后,在HFRF和LFRF功率的存在下,最终气体混合物在室中反应,以沉积包括碳含量为至少10原子%的掺杂碳的氧化硅层的最终层。在此步骤中,LFRF功率的最终设定值可为约80-200W,优选小于约160W,更优选约125W。在步骤413中,在将有机硅酸盐电介质层沉积至期望厚度之后,终止HFRF和LFRF功率。在HFRF和LFRF功率终止时保持室压力。
可选地,步骤105-111和步骤403-411包括:在沉积过程中,在处理室中,改变衬底与气体歧管(例如喷淋头或气体分布板)之间的距离。在RF功率的存在下改变气体歧管与衬底之间的体积更全面地描述在2003年8月20日提交的美国专利申请No.10/645675(通过引用结合在本文中)中。
沉积有机硅酸盐层的前驱体和处理条件
在本文描述的任何实施方式中,有机硅酸盐电介质层是由包含有机硅化合物的处理气体混合物沉积的。有机硅酸盐层可用作电介质层。电介质层可在器件内的不同层使用。例如,电介质层可用作前金属电介质层、金属间电介质层和栅极电介质层。有机硅酸盐层优选为低k电介质层,即其介电常数小于约3.0。
各种处理气体混合物可用于沉积有机硅酸盐电介质层,下面提供了这样的气体混合物的非限制性实例。通常,气体混合物包含一种或更多种有机硅化合物(例如,第一和第二有机硅化合物)、载气和氧化气体。不应对这些组分进行限制性解释,因为气体混合物还可以包含其它组分,例如烃(如脂族烃)。
本文所用术语“有机硅化合物”意指包含有机基团的碳原子的含硅化合物。有机硅化合物可以包括一种或更多种环状有机硅化合物、一种或更多种脂族有机硅化合物,或其组合。某些示例性的有机硅化合物包括四甲基环四硅氧烷(TMCTS)、八甲基环四硅氧烷(OMCTS)、五甲基环五硅氧烷、六甲基环三硅氧烷、二乙氧基甲基硅烷(DEMS)、二甲基二硅氧烷、四硅烷-2,6-二氧-4,8-二亚甲基、四甲基二硅氧烷、六甲基二硅氧烷(HMDS)、1,3-二(硅烷亚甲基)二硅氧烷、二(1-甲基二硅氧烷基)甲烷、二(1-甲基二硅氧烷基)丙烷、六甲氧基二硅氧烷(HMDOS)、二甲基二甲氧基硅烷(DMDMOS)和二甲氧基甲基乙烯基硅烷(DMMVS),或其衍生物。可以以约100-3500sccm、优选约500-3000sccm的流率将所述一种或更多种有机硅化合物引入处理室。
气体混合物可选地包含一种或更多种载气。通常,一种或更多种载气与所述一种或更多种有机硅混合物一起被引入处理室。可以使用的载气的实例包括氦、氩、二氧化碳及其组合。部分地依赖于室内部尺寸,可以以小于约20000sccm的流率将所述一种或更多种载气引入处理室。优选地,载气流率为约500-1500sccm,更优选约1000sccm。在某些工艺中,在引入反应性处理气体之前,使例如氦或氩的惰性气体进入处理室,以稳定室中的压力。
气体混合物还包含一种或更多种氧化气体。合适的氧化气体包括氧(O2)、臭氧(O3)、氧化亚氮(N2O)、一氧化碳(CO)、二氧化碳(CO2)及其组合。部分地依赖于室内部尺寸,氧化气体的流率可为约100-3000sccm。通常,氧化气体的流率为约100-1000sccm。氧或含氧混合物可在进入沉积室之前在微波室中发生解离,和/或在处理室内通过对处理气体施加的RF功率发生解离。
通常,在沉积期间,通过如图3所示使用RF功率源325对喷淋头施加的RF能量,在室中邻近衬底处形成受控等离子体。或者,可对衬底支座提供RF功率。可以使用高频RF(HFRF)功率及低频RF(LFRF)功率(例如,双频RF)、恒定RF、脉冲RF或者任何其它已知的或待发现的等离子体产生技术来生成等离子体。RF功率源325可以供给约5-300MHz的单频HFRF。此外,RF功率源还可供给约300Hz-1000kHz的单频LFRF,从而供给混频(HFRF和LFRF),以促进被引入处理室的反应性物质分解。RF功率可以是周期性的或脉冲的,以减少对衬底的加热并使沉积膜的孔隙率更大。合适的HFRF功率可为约10-5000W,优选约200-800W。合适的LFRF功率可为约0-5000W,优选约0-200W。
在沉积期间,衬底被保持在约-20-500℃的温度,优选约100-450℃。沉积压力通常为约1-20Torr,优选约4-7Torr。沉积速率通常为约2000-20000/min。
图5为可使用如图3所示的处理室来进行的本发明的第三种实施方式的工艺流程图。在图5所示的实施方式中,按照图4所述的方法沉积有机硅酸盐电介质层,只是将OMCTS用作有机硅化合物,氧用作氧化气体并且氦用作载气。该工艺开始于步骤501,将衬底定位在能够进行PECVD的处理室中的衬底支座上。在步骤503中,通过气体分布歧管将OMCTS∶O2摩尔流率比为约0.05-0.1的界面气体混合物以及氦引入处理室。在步骤505中,启动HFRF功率并对气体分布歧管施加,以在处理室中提供等离子体处理条件。在HFRF的存在下,界面气体混合物在室中反应,以沉积包括碳含量小于1原子%的氧化硅层的界面层。该界面层与下方衬底牢固粘附。在步骤507中,以约300-5000mg/min/sec的递增速率提高OMCTS的流率,直至达到预定的最终设定的OMCTS流率值。在HFRF的存在下提高OMCTS的流率,而同时在步骤509将LFRF功率从约0W的初始设定值提高到在步骤511中沉积最终层时使用的最终设定值。
改变工艺的沉积条件(例如,气体混合物组成、RF频率和功率),以确保气体分布歧管的DC偏压的变化小于60伏特,从而避免PID。LFRF功率的递增速率优选为约15-45W/sec。在步骤511达到预定的最终设定的OMCTS流率值之后,在HFRF和LFRF功率的存在下,组成包括以最终设定流率值的OMCTS的最终气体混合物在室中反应,以沉积包括碳含量为至少10原子%的掺杂碳的氧化硅层的最终层。在此步骤中,LFRF功率的最终设定值可为约80-200W,优选小于约160W,更优选约125W。载气(例如氦)的流率优选不变以减小DC偏压的变化,但如果DC偏压的变化小于60V,则载气流率可以改变。在步骤513中,在将有机硅酸盐电介质层沉积至期望厚度之后,终止HFRF和LFRF功率。在HFRF和LFRF功率终止时保持室压力。
低k有机硅酸盐电介质层对下方的衬底或阻挡层的粘附性取决于界面层对下方层的粘附强度。为了得到具有高粘附强度的界面层,界面层应当富含氧化物,并具有极少量或不存在C-H或-CH3端键。换言之,界面层包含的Si-CH3或C-H键与Si-O键的比例应当小于0.001。-CH3端键的抑制依赖于界面层沉积时的气体混合物组成。特别地,可以将有机硅前驱体与氧化气体的摩尔流率之比改变为预先确定足够的比例,从而沉积具有最少-CH3端键和高粘附能的界面层。
图6示出了粘附能(J/m2)作为OMCTS∶O2的摩尔流率比的函数的关系。使用四点弯曲技术测定粘附能。因此,为了沉积具有良好粘附强度的低k有机硅酸盐电介质层,第一气体混合物的第一组成包括OMCTS和氧,OMCTS与O2的摩尔流率比应当小于约0.15,优选小于约0.10,相对应的OMCTS与O2的流率比小于约2.0 OMCTS(mg/min)/O2(sccm),优选小于约1.3 OMCTS(mg/min)/O2(sccm)。图7示出了Si-CH3与Si-O键的比例以及OMCTS与O2的摩尔流率比之间的关系。如图5的方法的步骤503和505所述,保持OMCTS∶O2摩尔流率比小于0.1,可以提供碳含量小于1原子%的有机硅酸盐电介质层的界面层。
在其它实施方式中,除了在沉积有机硅酸盐电介质层的过程中改变气体混合物的组成和LFRF以外,在沉积界面层之前(即,在图1的步骤103之前),优选将HFRF功率从0W受控递增至用于沉积界面层的初始设定值(例如,约500W)。递增速率可为小于约300W/sec,优选小于约200W/sec,更优选小于约100W/sec。在另一种实施方式中,RF功率还可以在开始沉积初始层之后递减,从而降低初始层的沉积速率,即初始层的厚度。
在其它实施方式中,在沉积界面层之前,优选将惰性气体和氧化气体的流率稳定在初始设定值(例如,He为1000sccm,O2为700sccm),从而避免处理气体流率不稳定。在另一种实施方式中,可以以约100-200mg/min的流率将所述一种或更多种有机硅酸盐的前驱体气体引入处理室,从而准备好液体输送线以及避免流率不稳定。在沉积期间,有机硅酸盐前驱体气体流率可以以约200-5000mg/min/sec、优选约300-600mg/min/scc的递增速率提高,直至达到用于随后沉积有机硅酸盐电介质层的最终层的最终设定值,从而进一步避免流率不稳定和对衬底的潜在PID损坏。
按上述方法,将处理气体逐步引入处理室,并以特定递增或递减速率的可控方式改变其值,以及可选地改变RF功率,不仅提供了对下方衬底具有增强的粘附强度的电介质层,而且改善了用于使对衬底的潜在PID损坏最小化的等离子体的稳定性和均匀性。
在膜沉积之后,可将有机硅酸盐电介质层后处理,例如,用热、电子束和UV曝光固化。层的后处理为膜网络供给能量,以挥发和去除膜网络中的至少一部分有机基团(例如有机环基),从而得到具有低介电常数的孔隙率更大的膜网络。
实施例
按照以上图5所述的实施方式将有机硅酸盐电介质层沉积在衬底上。在可从加利福尼亚,Santa Clara的应用材料公司获得的PRODUCER系统上使用PECVD室(即,CVD室)沉积这些膜。在沉积过程中,室压力保持在约4.5Torr,衬底温度保持在约350℃。
将衬底定位在设置在处理室中的衬底支座上。将用于界面层的含有组成为1000sccm的氦和700sccm的氧的惰性气体的气体混合物引入处理室,并在启动HFRF功率前稳定流率。然后,对喷淋头施加约500W的HFRF功率,以形成组成包括流率为约700mg/min的OMCTS的界面处理气体混合物的等离子体,并沉积碳含量小于约1原子%的氧化硅层。在启动HFRF功率约2秒之后,以约600mg/min/sec的递增速率提高OMCTS的流率,同时以约30W/sec的递增速率提高LFRF功率。此外,以约5000sccm/sec的递减速率降低O2的流率。
由于处理参数改变,包含浓度升高的碳的过渡层被沉积在界面层上。在达到最终设定值后,对气体分布歧管施加约500W的HFRF功率和约125W的LFRF功率,以形成组成包括流率约为2700mg/min的OMCTS的最终气体混合物的等离子体,从而开始将掺杂碳的氧化硅层沉积在过渡层上,掺杂碳的氧化硅层的碳含量为约20原子%(除氢原子以外)。最终气体混合物的组成还包括900sccm的氦和160sccm的氧。最终HFRF功率为500W,最终LFRF功率为125W。在有机硅酸盐电介质层达到期望厚度之后,终止RF功率(HFRF和LFRF)以停止进一步沉积。在RF功率终止之后,开启室节流阀,以使处理气体混合物被泵出处理室。
可以对上述实施例进行许多变化。例如,可以使用其它有机硅前驱体、氧化气体和惰性气体。此外,可以使用不同的流率和/或递增速率。在一个实施例中,可以使用TMCTS代替OMCTS作为有机硅前驱体,并且可在以150sccm/min的速率提高TMCTS流率的同时沉积过渡层。在另一个实施例中,有机硅前驱体可以包括一定流率的三甲基硅烷以及一定流率的OMCTS。在另一个实施例中,可以使用HFRF和LFRF(即LFRF值非零)来沉积界面层。沉积电介质层的时间可为0.5-5秒。
图8示出了实施例的工艺的喷淋头的数条DC偏压曲线802与其中工艺参数不渐变的相同工艺的喷淋头的DC偏压曲线801的比较。图8表明,当工艺条件突然改变时,与145伏特的DC偏压变化相比,本发明的工艺的喷淋头的DC偏压变化令人惊讶地明显减小,仅为小于30伏特。
尽管不与理论结合,但可以认为,气体分布歧管的DC偏压的变化如上述小于60伏特,可使等离子体更稳定,并且明显和实质性地减少衬底损坏。
虽然上述针对本发明的实施方式,但是在不脱离本发明的基本范围的前提下,可以设计本发明的其它和进一步的实施方式,而且本发明的范围由权利要求确定。

Claims (24)

1.一种沉积有机硅酸盐电介质层的方法,包括:
将衬底定位在具有通电电极的处理室中;
将界面气体混合物流入所述处理室,所述界面气体混合物包含一种或更多种有机硅化合物和一种或更多种氧化气体;
通过对所述通电电极施加高频射频功率,将氧化硅层沉积在所述衬底上,所述氧化硅层的碳浓度小于3原子%;
在将过渡层沉积在所述氧化硅层上的同时,递增所述一种或更多种有机硅化合物的流率,直至得到最终气体混合物,其中所述通电电极的DC偏压的变化小于60伏特;
流入所述最终气体混合物,以在所述过渡层上沉积掺杂碳的氧化硅层,所述掺杂碳的氧化硅层的碳浓度为至少10原子%;以及
终止所述高频射频功率。
2.如权利要求1的方法,其中所述氧化硅层的碳浓度小于1原子%。
3.如权利要求1的方法,其中所述掺杂碳的氧化硅层的碳浓度大于20原子%。
4.如权利要求1的方法,其中所述递增所述一种或更多种有机硅化合物的流率包括以小于约1000mg/min/sec的递增速率逐步提高所述一种或更多种有机硅化合物的流率。
5.如权利要求1的方法,其中所述递增所述一种或更多种有机硅化合物的流率包括以约300-900mg/min/sec的递增速率逐步提高所述一种或更多种有机硅化合物的流率。
6.如权利要求1的方法,还包括在沉积所述掺杂碳的氧化硅层的同时对所述气体分布歧管施加低频射频功率。
7.如权利要求6的方法,还包括在沉积所述过渡层的同时以约15-45W/sec的递增速率递增所述低频射频功率。
8.如权利要求1的方法,其中所述一种或更多种有机硅化合物包含八甲基环四硅氧烷。
9.如权利要求8的方法,其中所述一种或更多种氧化气体为氧气,并且其中所述界面气体混合物包含八甲基环四硅氧烷和氧气,八甲基环四硅氧烷∶氧气摩尔流率比小于约0.1。
10.如权利要求1的方法,其中所述氧化硅层的Si-CH3或C-H键与Si-O键之比小于0.001。
11.如权利要求1的方法,其中所述氧化硅层是以约80-125W的最终低频射频功率沉积的。
12.如权利要求1的方法,其中所述衬底与气体歧管之间的距离在施加高频射频功率时是变化的。
13.一种沉积有机硅酸盐电介质层的方法,包括:
将衬底定位在具有气体分布歧管的处理室中;
将界面气体混合物流入所述处理室,所述界面气体混合物包含氦、八甲基环四硅氧烷和一种或更多种氧化气体;
通过对所述气体分布歧管施加高频射频功率,将氧化硅层沉积在所述衬底上,所述氧化硅层的碳浓度小于3原子%;
在将过渡层沉积在所述界面层上的同时,以约300-900mg/min/sec的递增速率提高八甲基环四硅氧烷的流率,直至得到最终气体混合物;
流入所述最终气体混合物,以在所述过渡层上沉积掺杂碳的氧化硅层,所述掺杂碳的氧化硅层的碳浓度为至少10原子%;以及
终止所述HFRF功率。
14.如权利要求13的方法,其中所述气体分布歧管的DC偏压的变化小于30伏特。
15.如权利要求13的方法,其中所述氧化硅层的碳浓度小于1原子%。
16.如权利要求15的方法,其中所述掺杂碳的氧化硅层的碳浓度大于20原子%。
17.如权利要求16的方法,其中所述递增所述一种或更多种有机硅化合物的流率包括以600mg/min/sec的递增速率提高八甲基环四硅氧烷的流率。
18.如权利要求13的方法,还包括对所述气体分布歧管施加低频射频功率。
19.如权利要求18的方法,还包括在沉积所述过渡层的同时以约15-45W/sec的递增速率递增所述低频射频功率。
20.如权利要求19的方法,还包括在沉积所述掺杂碳的氧化硅层之后终止所述低频射频功率。
21.如权利要求13的方法,其中所述界面气体混合物包含1000sccm的氦、700mg/min的八甲基环四硅氧烷和700sccm的氧。
22.如权利要求21的方法,其中所述最终气体混合物包含900sccm的氦、2700mg/min的八甲基环四硅氧烷和160sccm的氧。
23.如权利要求22的方法,其中所述高频射频功率为约200-800W。
24.如权利要求13的方法,其中所述衬底与气体歧管之间的距离在施加高频射频功率时是变化的。
CN200680002599A 2005-01-19 2006-01-19 改善低k叠层之间粘附性的界面工程 Expired - Fee Related CN100594259C (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US64489905P 2005-01-19 2005-01-19
US60/644,899 2005-01-19
US11/142,124 US7259111B2 (en) 2005-01-19 2005-06-01 Interface engineering to improve adhesion between low k stacks
US11/142,124 2005-06-01
PCT/US2006/001741 WO2006078719A2 (en) 2005-01-19 2006-01-19 Interface engineering to improve adhesion between low k stacks

Publications (2)

Publication Number Publication Date
CN101107383A true CN101107383A (zh) 2008-01-16
CN100594259C CN100594259C (zh) 2010-03-17

Family

ID=36644885

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680002599A Expired - Fee Related CN100594259C (zh) 2005-01-19 2006-01-19 改善低k叠层之间粘附性的界面工程

Country Status (4)

Country Link
US (1) US7259111B2 (zh)
KR (1) KR100971825B1 (zh)
CN (1) CN100594259C (zh)
WO (1) WO2006078719A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101996878B (zh) * 2009-08-11 2012-09-26 中芯国际集成电路制造(上海)有限公司 沉积低介电常数绝缘材料层的方法
CN109642318A (zh) * 2016-04-08 2019-04-16 Sio2医药产品公司 用于利用移动气体入口施加pecvd润滑层的方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20070077778A1 (en) * 2005-10-04 2007-04-05 The Boc Group, Inc. Method of forming low dielectric constant layer
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US8900695B2 (en) * 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US20100068489A1 (en) * 2007-02-23 2010-03-18 Applied Microstructures, Inc. Wear-resistant, carbon-doped metal oxide coatings for MEMS and nanoimprint lithography
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US20110068332A1 (en) * 2008-08-04 2011-03-24 The Trustees Of Princeton University Hybrid Dielectric Material for Thin Film Transistors
CN102113120B (zh) * 2008-08-04 2014-10-22 普林斯顿大学理事会 用于薄膜晶体管的杂化的介电材料
US20100087062A1 (en) * 2008-10-06 2010-04-08 Applied Materials, Inc. High temperature bd development for memory applications
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
WO2014143337A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9853133B2 (en) * 2014-09-04 2017-12-26 Sunedison Semiconductor Limited (Uen201334164H) Method of manufacturing high resistivity silicon-on-insulator substrate
JP6347548B2 (ja) 2014-09-08 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10109523B2 (en) 2016-11-29 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP
CN110235248B (zh) 2017-04-27 2024-03-26 应用材料公司 用于3d nand应用的低介电常数氧化物和低电阻op堆叠
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5129359A (en) * 1988-11-15 1992-07-14 Canon Kabushiki Kaisha Microwave plasma CVD apparatus for the formation of functional deposited film with discharge space provided with gas feed device capable of applying bias voltage between the gas feed device and substrate
JP2746289B2 (ja) * 1989-09-09 1998-05-06 忠弘 大見 素子の作製方法並びに半導体素子およびその作製方法
US5926689A (en) * 1995-12-19 1999-07-20 International Business Machines Corporation Process for reducing circuit damage during PECVD in single wafer PECVD system
US6136654A (en) * 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
US6235650B1 (en) * 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6251770B1 (en) 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6521302B1 (en) 2000-09-26 2003-02-18 Applied Materials, Inc. Method of reducing plasma-induced damage
US6500773B1 (en) * 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6518646B1 (en) 2001-03-29 2003-02-11 Advanced Micro Devices, Inc. Semiconductor device with variable composition low-k inter-layer dielectric and method of making
US6602800B2 (en) 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
US6570256B2 (en) 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US6887780B2 (en) 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6911403B2 (en) 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101996878B (zh) * 2009-08-11 2012-09-26 中芯国际集成电路制造(上海)有限公司 沉积低介电常数绝缘材料层的方法
CN109642318A (zh) * 2016-04-08 2019-04-16 Sio2医药产品公司 用于利用移动气体入口施加pecvd润滑层的方法

Also Published As

Publication number Publication date
US7259111B2 (en) 2007-08-21
WO2006078719A2 (en) 2006-07-27
WO2006078719A3 (en) 2006-10-19
US20060160376A1 (en) 2006-07-20
KR20070096035A (ko) 2007-10-01
CN100594259C (zh) 2010-03-17
KR100971825B1 (ko) 2010-07-22

Similar Documents

Publication Publication Date Title
CN100594259C (zh) 改善低k叠层之间粘附性的界面工程
CN101109074B (zh) 在硅和有机前驱物的pecvd工艺中减少气相反应以沉积无缺陷起始层方法
US9018108B2 (en) Low shrinkage dielectric films
KR100453612B1 (ko) 유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법
JP4842251B2 (ja) 下にあるバリア層への多孔性低誘電率膜の接着を促進する手法
KR100497778B1 (ko) 유도결합 플라즈마 화학증착법
US6787483B1 (en) Gap fill for high aspect ratio structures
CN100400707C (zh) 用电子束硬化低介电常数膜的方法
US6825130B2 (en) CVD of porous dielectric materials
TW201417179A (zh) 低成本流動性介電質薄膜
CN103210479A (zh) 用以降低超低k介电薄膜的黏着层厚度并提高抗破坏性的工艺
CN102460679A (zh) 硼膜界面工程
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
KR100899726B1 (ko) 디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한개시층을 개선하는 방법
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
CN103540908A (zh) 沉积二氧化硅薄膜的方法
CN101316945A (zh) 低介电常数薄膜的灰化/蚀刻损伤的抵抗性以及整体稳定性的改进方法
US7001854B1 (en) Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
JP4881153B2 (ja) 水素化シリコンオキシカーバイド膜の生成方法。
US6436822B1 (en) Method for making a carbon doped oxide dielectric material
US7998536B2 (en) Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
WO2001001472A1 (en) Method and apparatus for forming a film on a substrate
JP2003530481A (ja) 無機/有機誘電体フィルムを堆積させるシステム及び方法
CN100541735C (zh) Sioc低k膜的应力减小
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100317

Termination date: 20150119

EXPY Termination of patent right or utility model