CN101316945A - 低介电常数薄膜的灰化/蚀刻损伤的抵抗性以及整体稳定性的改进方法 - Google Patents

低介电常数薄膜的灰化/蚀刻损伤的抵抗性以及整体稳定性的改进方法 Download PDF

Info

Publication number
CN101316945A
CN101316945A CNA2006800445403A CN200680044540A CN101316945A CN 101316945 A CN101316945 A CN 101316945A CN A2006800445403 A CNA2006800445403 A CN A2006800445403A CN 200680044540 A CN200680044540 A CN 200680044540A CN 101316945 A CN101316945 A CN 101316945A
Authority
CN
China
Prior art keywords
flow velocity
silicoorganic compound
dielectric constant
low dielectric
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800445403A
Other languages
English (en)
Other versions
CN101316945B (zh
Inventor
桑·H·安
亚历山德罗斯·T·迪莫斯
希姆·M·萨德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101316945A publication Critical patent/CN101316945A/zh
Application granted granted Critical
Publication of CN101316945B publication Critical patent/CN101316945B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/046Forming abrasion-resistant coatings; Forming surface-hardening coatings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/06Coating with compositions not containing macromolecular substances
    • C08J7/065Low-molecular-weight organic substances, e.g. absorption of additives in the surface of the article
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/08Heat treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/12Chemical modification
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Thermal Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Other Resins Obtained By Reactions Not Involving Carbon-To-Carbon Unsaturated Bonds (AREA)

Abstract

本发明的实施方式提供一种用于在腔室的衬底上由包括两种有机硅化合物的混合物沉积低介电常数薄膜的方法。该混合物包括碳氢化合物和氧化气体。第一有机硅化合物中每个Si原子具有一个或多个Si-C键的平均数。第二有机硅化合物中每个Si原子具有Si-C键的平均数,该平均数大于第一有机硅化合物中每个Si原子的Si-C键平均数。该低介电常数薄膜具有良好的等离子体/湿刻损伤抵抗性、良好的机械性能和期望的介电常数。

Description

低介电常数薄膜的灰化/蚀刻损伤的抵抗性以及整体稳定性的改进方法
发明背景
技术领域
本发明的实施方式主要涉及集成电路的制造。更具体地,本发明的实施方式涉及一种用于在衬底上沉积低介电常数薄膜的工艺。
背景技术
从这些器件在数十年前首次被引入以来,集成电路的几何结构在尺寸方面显著减小。从那时起,集成电路一般遵循两年/一半尺寸的原则(通常称为摩尔定律),其意味着芯片上的器件数量每隔两年翻倍。如今的制造设备一般生产具有0.13μm并且甚至0.1μm特征尺寸,并且在不久的将来的设备将生产具有更小特征尺寸的器件。
器件几何结构的不断减小使得需求具有更小介电常数(k)值的膜,原因在于必需减小邻近金属线之间的电容耦接以进一步减小集成电路上器件的尺寸。特别地,期望具有小于约4.0的低介电常数的绝缘体。具有小介电常数的绝缘体的实施例包括旋涂玻璃、氟掺杂的硅玻璃(FSG)、碳掺杂的氧化物、多孔碳掺杂的氧化物和聚四氟乙烯(PTFE),其都能购得。
最近,已经研发了具有小于大约3.5的k值的低介电常数有机硅薄膜。用于研发低介电常数有机硅薄膜的一种方法为:利用包含有机硅化合物和含热不稳定的物质或挥发性基团的化合物的气体混合物沉积薄膜,以及随后后处理所沉积的薄膜以从所沉积的薄膜去除热不稳定物种或挥发性基团,诸如有机基团。从所沉积的薄膜去除热不稳定物种或挥发性基团在薄膜中产生了纳米级的气孔,其降低了薄膜的介电常数,原因在于空气具有大约为1的介电常数。
如上所述虽然已经研发了具有期望的低介电常数的低介电常数有机硅薄膜,但是该低介电常数薄膜中的一些展示出较少的所需机械性能,诸如较差的机械强度,其反映出在随后的半导体处理步骤期间薄膜易于受到损伤。可损伤低介电常数薄膜的半导体处理步骤包括等离子体基的工艺,诸如在阻挡层或籽晶层沉积于低介电常数薄膜上之前通常在构图的低介电常数薄膜之上执行的等离子体清洗步骤。用于从介电薄膜去除光刻胶或底部抗反射涂层(BARC)的灰化工艺或湿刻工艺也可能损伤薄膜。
因而,仍然需要一种用于制备具有改进的机械性能并抵抗下游等离子体或湿刻工艺化学腐蚀的低介电常数薄膜的工艺。
发明内容
本发明主要提供一种用于沉积低介电常数薄膜的方法。在一个实施方式中,该方法包括:以第一流速将第一有机硅化合物引入腔室,其中所述第一有机硅化合物中每个Si原子具有一个或多个Si-C键的平均数;以第二流速将第二有机硅化合物引入所述腔室,其中所述第二有机硅化合物中每个Si原子具有Si-C键的平均数,该平均数大于在所述第一有机硅化合物中每个Si原子的Si-C键平均数,以及其中所述第二流速除以所述第一流速与第二流速的总和在大约5%和大约50%之间;以及在存在RF功率下,使所述第一有机硅化合物与所述第二有机硅化合物反应,以在所述腔室中的衬底上沉积低介电常数薄膜。氧化气体也可与第一有机硅化合物和第二有机硅化合物反应。利用具有几乎没有Si-C键的第一有机硅化合物沉积的低k介电薄膜,与利用具有更多Si-C键的第二有机硅化合物沉积的低k介电薄膜相比,通常具有更好的机械性能。然而,可控制第二有机硅前驱物的比例以改进对等离子体和湿刻工艺的化学抵抗性,并对机械性能的影响最小。
在另一实施方式中,该方法包括以第一流速将第一有机硅化合物引入腔室,其中所述第一有机硅化合物中每个Si原子具有一个或多个Si-C键的平均数;以第二流速将第二有机硅化合物引入所述腔室,其中所述第二有机硅化合物中每个Si原子具有平均数的Si-C键,该平均数大于在所述第一有机硅化合物中每个Si原子的Si-C键平均数,以及其中所述第二流速除以所述第一流速与第二流速的总和在大约5%和大约50%之间;将热不稳定化合物引入所述腔室中;以及在存在射频功率下,使所述第一有机硅化合物、所述第二有机硅化合物和所述热不稳定化合物反应,以在所述腔室中的衬底上沉积低介电常数薄膜。氧化气体也可与第一有机硅化合物、第二有机硅化合物和热不稳定化合物反应。
在又一实施方式中,该方法包括以第一流速将甲基二乙氧基硅烷引入腔室;以第二流速将三甲基硅烷引入所述腔室,其中所述第二流速除以所述第一流速与第二流速的总和在大约5%和大约50%之间;将α-松油烯引入所述腔室中;以及在存在射频功率下,使所述甲基二乙氧基硅烷、三甲基硅烷和α-松油烯反应,以在所述腔室中的衬底上沉积低介电常数薄膜。氧化气体也可与甲基二乙氧基硅烷、三甲基硅烷和α-松油烯反应。
附图说明
因此为了更详细地理解本发明的以上所述特征,将参照实施方式对以上简要所述的本发明进行更具体描述,其中部分实施方式在附图中示出。然而,应该注意,附图仅示出了本发明典型的实施方式,因此不能认为是对本发明范围的限定,因为本发明可以允许其他等同的有效实施方式。
图1示出了根据本发明的实施方式由具有不同比率的两种有机硅化合物前驱物的前驱物混合物所沉积的低介电常数薄膜的薄膜组成比率(CHx/SiO,SiCH3/SiO,Si-H/SiO)的图表;
图2示出了根据本发明的实施方式由具有不同比率的两种有机硅化合物前驱物的前驱物混合物所沉积的低介电常数薄膜的介电常数和收缩率的图表;
图3示出了根据本发明的实施方式由具有不同比率的两种有机硅化合物前驱物的前驱物混合物所沉积的低介电常数薄膜的应力和模数的图表。
具体实施方式
本发明提供一种通过使腔室中的第一有机硅化合物与第二有机硅化合物在足以沉积低介电常数薄膜的条件下反应而沉积包含硅、氧和碳的低介电常数薄膜的方法。低介电常数薄膜通常具有大约3.0或更低的介电常数,优选地为大约2.5或更低。薄膜可使用等离子体增强化学气相沉积(PECVD)在能执行化学气相沉积(CVD)的腔室中沉积。等离子体可利用恒定射频(RF)功率、脉冲RF功率、高频RF功率、双频RF功率及其组合或其它等离子体产生技术而产生。
第一有机硅化合物中的每个Si原子具有一个或多个Si-C键的平均数目。在一个方案中,第一有机硅化合物包含至少一种Si-O键,例如,两个Si-O键,Si-C键以及Si-H键。包含至少一种Si-O键、Si-C键和Si-H键的有机硅化合物是期望的,原因在于发现在所沉积介电薄膜中的Si-O键增强与Si-H键的联接,而在所沉积介电薄膜中的Si-CH3键有利于低介电常数并增强薄膜对等离子体和湿刻损伤的抵抗。可用作第一有机硅化合物的化合物的实施例如下:甲基二乙氧基硅烷(mDEOS,CH3-SiH-(OCH2CH3)2)、1,3-二甲基二硅氧烷(CH3-SiH2-O-SiH2-CH3)、1,1,3,3-四甲基二硅氧烷(((CH3)2-SiH-O-SiH-(CH3)2)、双(1-甲基二硅氧烷基)甲烷((CH3-SiH2-O-SiH2-)2-CH2),以及2,2-双(1-甲基二硅氧烷基)丙烷(CH3-SiH2-O-SiH2-)2-C(CH3)2
第二有机硅化合物中每个Si原子具有Si-C键的平均数目,大于在第一有机硅化合物中每个Si原子的Si-C键的平均数目。例如,如果每个Si原子具有一个Si-C键的甲基二乙氧基硅烷用作第一有机硅化合物,则第二有机硅化合物中每个Si原子具有两个或多个Si-C键。例如,第二有机硅化合物可能是三甲基硅烷,其每个Si原子具有三个Si-C键。
可用作第二有机硅化合物的化合物实施例如下:二甲基甲硅烷((CH3)2-SiH2),三甲基硅烷(TMS,(CH3)3-SiH),四甲基硅烷((CH3)4-Si),苯基硅烷诸如y为2-4的(C6H5)ySiH4-y,乙烯基硅烷诸如z为2-4的(CH2=CH)ZSiH4-z,1,1,3,3-四甲基二硅氧烷((CH3)2-SiH-O-SiH-(CH3)2),六甲基二硅氧烷((CH3)3-Si-O-Si-(CH3)3),n为3或更大的(-O-Si-(CH3)2-)n循环诸如六甲基三硅氧烷、八甲基环四硅氧烷(OMCTS),以及十甲基五硅氧烷,二甲基二乙氧基硅烷((CH3)2-Si-(OCH3)2),甲基苯基二乙氧基硅烷((CH3)(C6H5)-Si-(OCH3)2),及其部分氟化的碳派生物,诸如CF3-Si-(CH3)3
可选地,第一有机硅化合物和第二有机硅化合物还与氧化气体反应。可使用的氧化气体包括氧气(O2)、臭氧(O3)、一氧化二氮(N2O)、一氧化碳(CO)、二氧化碳(CO2)、水(H2O)、2,3-丁二酮及其组合。当臭氧用作氧化气体时,臭氧发生器将按源气体中臭氧与氧气的比重从6%转化为20%,通常为大约为15%,残留物通常为氧气。然而,臭氧浓度可能基于所需要的臭氧量和所使用的臭氧产生设备的类型而增加或减小。氧气或含氧化合物的分解可能在进入沉积腔室之前在微波腔室中发生。优选地,射频(RF)功率施加到反应区以增加分解。
可选地,除了第一和第二有机硅化合物之外,将一种或多种载气引入到腔室中。可使用的载气的实施例包括氦、氩、氢气、乙烯及其组合。
在一个实施方式中,除了第一和第二有机硅化合物和可选的氧化气体与可选的载气之外,将一种或多种热不稳定化合物,例如,一种或多种碳氢化合物,引入到腔室中。如在此限定的,“碳氢化合物”包括碳氢化合物以及除了碳和氢之外还包括其它原子的碳氢基化合物。一种或多种碳氢化合物与第一和第二有机硅化合物以及可选的氧化气体反应以沉积低介电常数薄膜。碳氢化合物可包括热不稳定的物种或挥发性基团。热不稳定的物种或挥发性基团可以为环状基团。在此使用的术语“环状基团”意欲指环结构。环结构最少可包含3个原子。该原子可包含碳、氮、氧、氟及其组合,例如。环状基团可包括一个或多个单键、双键、三键及其组合。例如,环状基团可包括一个或多个芳族化合物、芳代物(aryls)、苯基、环己胺、环己二烯、环庚二烯及其组合。环状基团还可以为双环或三环。在一个实施方式中,环状基团与线性(linear)官能团或分叉的官能团键合。线性或分叉的官能团优选地包含烷基或乙烯基烷基基团并具有1到20之间的碳原子。线性或分叉的官能团还可包括氧原子,诸如在酮、醚和酯中的氧原子。可使用并具有至少一个环状基团的一些示例性的化合物包括α-松油烯(ATP)、二环庚二烯、乙烯基环己胺(VCH)和乙酸苯酯。
将第一有机硅化合物以大约50mgm与大约5000mgm之间的流速引入到腔室中。第二有机硅化合物以大约5sccm与大约1000sccm之间的流速引入到腔室中。选择第一有机硅化合物和第二有机硅化合物的流速,使得第二有机硅化合物的流速除以第一有机硅化合物流速与第二有机硅化合物流速的和在大约5%和大约50%之间。将在以下进一步讨论第一和第二有机硅化合物的相对流速。
一种或多种可选的氧化气体具有在大约50和大约5,000sccm之间的流速,诸如在大约100和大约1,000sccm之间,优选为大约200sccm。一种或多种可选的碳氢化合物被以大约100到大约5,000mgm之间的流速引入到腔室中,诸如在大约500与大约5,000mgm之间,优选地为大约3,000mgm。一种或多种可选的载气具有在大约500sccm和大约5,000sccm之间的流速。优选地,第一有机硅化合物为mDEOS,第二有机硅化合物为TMS,碳氢化合物为α-松油烯,以及氧化气体为氧气。
以上所述并贯穿直接申请的流速是相对于具有两个隔离处理区的300mm腔室进行设置,诸如从CA的Santa Clara的应用材料有限公司购得的
Figure A20068004454000101
腔室。因而,流经每个衬底处理区的流速为流入腔室的流速的一半。
在腔室中的衬底上沉积低介电常数薄膜期间,衬底通常保持在大约25℃与大约400℃之间的温度。从大约0.07W/cm2到大约2.8W/cm2范围内的功率密度,其为通常使用的用于300mm衬底的大约50W和大约2000W之间的RF功率级别。优选地,RF功率级别在大约100W和大约1500W之间。RF功率设置为在大约0.01MHz和300MHz之间的频率。RF功率可设置为混合频率,诸如大约13.56MHz的高频和大约350kHz的低频。RF功率可以是循环的或脉冲的以减少衬底的热量并促进所沉积薄膜中更大的孔隙度。RF功率还可以为连续或非连续的。
在沉积低介电常数薄膜之后,可后处理薄膜以从所沉积的薄膜去除热不稳定的物种或挥发性的基团,诸如有机基团。可使用的后处理包括电子束处理、紫外线处理、热处理(不存在电子束和/或紫外线处理时)及其组合。
可使用的示例性电子束条件包括在大约200℃到大约600℃之间的腔室温度,例如大约350℃至大约400℃之间的温度。电子束能量可以为从大约0.5keV到大约30keV。照射剂量可以在大约1μC/cm2和大约400μC/cm2之间。腔室压力可以在大约1毫托和大约100毫托之间。腔室中的周围气体可以为任意以下气体:氮气、氧气、氢气、氩、氢气和氮气的混合物、氨气、氙或这些气体的任意组合。电子束电流可以在大约0.15mA和大约50mA之间。电子束处理可以执行大约1分钟与大约15分钟之间的时间。尽管可使用任意电子束器件,但是可使用的示例性电子束腔室为可从CA的Santa Clara的应用材料有限公司购得的EBkTM电子束腔室。
可使用的示例性紫外线后处理条件包括在大约1托与大约10托之间的腔室压力和在大约350℃与大约500℃之间的衬底支架温度。紫外线辐射可通过任意紫外线源提供,诸如汞微波弧光灯、脉冲氙闪光灯或高效紫外线发光二极管阵列。例如紫外线辐射可具有在大约170nm与大约400nm之间的波长。在2005年5月9日提交的共同转让的美国专利申请序列号No.11/124,908中描述了可使用的紫外线腔室和处理条件的进一步细节,在此结合该专利作为参考。来自应用材料有限公司的NanoCureTM腔室是可用于紫外线后处理的可购得的腔室的实施例。
示例性的热后处理包括在大约200℃与大约500℃之间的衬底温度下退火腔室中的薄膜约2秒到约3个小时之间的时间长度,优选地为约0.5秒至约2小时。诸如氦、氢气、氮气及其组合的非反应气体可以大约100至大约10,000sccm之间的流速被引入到腔室中。腔室压力保持在大约1毫托和大约10毫托之间。优选的衬底间隔为约300密耳(mil)和约800密耳之间。在沉积低介电常数薄膜之后,在约200℃与约500℃之间的衬底温度下,优选地在约400℃与约420℃之间的衬底温度下退火低介电常数薄膜使薄膜中的至少部分有机基团挥发,在薄膜中形成纳米级的孔。
以下的实施例示出了本发明的实施方式。在实施例中的衬底为300mm衬底。低介电常数薄膜沉积于可从CA的Santa Clara的应用材料有限公司购得的
Figure A20068004454000111
腔室中的衬底上。随后使用电子束后处理低介电常数薄膜,但是可选地可在可从CA的Santa Clara的应用材料有限公司购得的EBkTM电子束腔室中在毫托范围内在很低的压力下在400℃热固化(cure)低介电常数薄膜1个小时,或者在腔室中在托范围内在低压力下在400℃热固化低介电常数薄膜2个小时。
实施例
低介电常数薄膜在约7.5托和约260℃的温度下沉积于衬底上。使用以下的处理气体和流速:
ATP,在2900mgm;
TMS,在62sccm;
mDEOS,在1044mgm(=186sccm);以及
氧气,在200sccm
因而,薄膜由具有25%TMS/mDEOS+TMS比率(62sccm TMS/186sccmmDEOS+62sccm TMS)的混合物沉积。衬底可距离气体分配喷头大约300密耳设置。将13.56MHz频率下600W的功率级别施加到喷头,用于等离子体增强薄膜的沉积。薄膜在后处理之前经SSM 5100Hg CV测量仪在0.1MHz下测量具有大约2.8的介电常数(k)。随后可利用电子束在以下条件下后处理衬底:V加速=5KeV,1.5mA的电子束电流,100μC/cm2的电子束剂量。在衬底上的低介电常数薄膜在后处理之后具有以下的属性:约50Mpa的应力,0.78Gpa的硬度和5.4Gpa的模量。
将根据在图1-3中示出的结果提供根据本发明的实施方式所描述的低介电常数薄膜的进一步的特征。图1是示出在利用包含mDEOS作为第一有机硅化合物、TMS作为第二有机硅化合物、α-松油烯和氧气的气体混合物所沉积的低介电常数薄膜中包括CHx/SiO,Si-CH3/SiO,Si-H/SiO的不同键类型的相对量的图表。不同键类型的相对量通过后处理之后所沉积薄膜中键的FTIR峰面积进行评估。薄膜利用TMS流速/(TMS流速+mDEOS流速)的不同比率进行沉积。图1示出了在薄膜中Si-CH3键对SiO键的相对量随着TMS量相对于气体混合物中TMS和mDEOS总量增加而增多,虽然薄膜中Si-H键对SiO键的相对量随着TMS量相对于气体混合物中TMS和mDEOS总量增加而减少。随着TMS量相对于气体混合物中TMS和mDEOS总量增加,CHx键对SiO键的相对量也而增加。认为与由一种有机硅前驱物所沉积的薄膜相比,根据本发明的实施方式所沉积薄膜中的Si-CH3键增加量和Si-H键的减少量改善了薄膜对不期望水吸收的抵抗力。
图2示出了由包含mDEOS作为第一有机硅化合物、TMS作为第二有机硅化合物、α-松油烯和氧气的气体混合物所沉积的低介电常数薄膜的介电常数(k)和收缩率的图表。该薄膜利用TMS流速/(TMS流速+mDEOS流速)的不同比率进行沉积。图2示出根据本发明的实施方式获得具有2.56或更低介电常数的薄膜并且薄膜的介电常数随着TMS量相对于气体混合物中TMS和mDEOS总量增加而增多。然而,薄膜的收缩率随着TMS量相对于气体混合物中TMS和mDEOS总量增加而增加。通过选择在大约5%与大约50%之间的TMS流速/(TMS流速+mDEOS流速),除了更好的化学抵抗性之外,可获得介电常数与机械属性的可接受组合。
图3示出了由包含mDEOS作为第一有机硅化合物、TMS作为第二有机硅化合物、α-松油烯和氧气的气体混合物所沉积的低介电常数薄膜的应力和模量的图表。该薄膜利用TMS流速/(TMS流速+mDEOS流速)的不同比率进行沉积。图3示出随着TMS量相对于气体混合物中TMS和mDEOS总量增加,薄膜的应力降低,这是令人满意的。然而,随着TMS量相对于气体混合物中TMS和mDEOS总量增加,薄膜的模量也降低。通过选择在大约5%与大约50%之间的TMS流速/(TMS流速+mDEOS流速),可获得薄膜应力和模量的可接受组合。
认为利用两个有机硅前驱物所沉积的薄膜相对于利用一种有机硅前驱物所沉积的薄膜即,第二有机硅化合物流速除以第一有机硅化合物流速和第二有机硅化合物流速的和的比率为0的薄膜(参见图1),的Si-CH3键的增加量增强了薄膜对等离子体损伤的抵抗性,诸如来自等离子体清洁步骤,增强了对来自用于去除光刻胶或BARC的灰化工艺的损伤,以及来自湿刻的损伤的抵抗性。通过使用第二有机硅化合物流速/第二有机硅化合物流速和第二有机硅化合物流速的总和等于在大约5%与50%之间以沉积低介电常数薄膜,可获得等离子体/湿刻损伤抵抗力、良好的机械性能和期望的介电常数的最佳组合。
虽然前述针对本发明的实施方式,但是在不脱离本发明的基本范围下,可设计出本发明的其它和进一步的实施方式,并且本发明的范围由以下的权利要求确定。

Claims (20)

1、一种用于沉积低介电常数薄膜的方法,包含:
以第一流速将第一有机硅化合物引入腔室,其中所述第一有机硅化合物中每个Si原子具有一个或多个Si-C键的平均数;
以第二流速将第二有机硅化合物引入所述腔室,其中所述第二有机硅化合物中每个Si原子具有Si-C键的平均数,该平均数大于在所述第一有机硅化合物中每个Si原子的Si-C键平均数,以及其中所述第二流速除以所述第一流速与第二流速的总和在大约5%和大约50%之间;以及
在存在射频功率下,使所述第一有机硅化合物与所述第二有机硅化合物反应,以在所述腔室中的衬底上沉积低介电常数薄膜。
2、根据权利要求1所述的方法,其特征在于,所述第一有机硅化合物包含Si-H键。
3、根据权利要求1所述的方法,其特征在于,所述第一有机硅化合物包含至少一种Si-O键、Si-C键和Si-H键。
4、根据权利要求3所述的方法,其特征在于,所述第一有机硅化合物包含两个Si-O键。
5、根据权利要求1所述的方法,其特征在于,所述第二有机硅化合物包含氧气。
6、根据权利要求1所述的方法,其特征在于,所述第二有机硅化合物选自由二甲基甲硅烷、三甲基硅烷、四甲基硅烷、y为2-4的(C6H5)ySiH4-y、z为2-4的(CH2=CH)zSiH4-z、1,1,3,3-四甲基二硅氧烷、六甲基二硅氧烷、六甲基三硅氧烷、八甲基环四硅氧烷、十甲基五硅氧烷、二甲基二乙氧基硅烷、甲基苯基二乙氧基硅烷、CF3-Si-(CH3)3,及其部分氟化的碳派生物组成的组。
7、根据权利要求1所述的方法,其特征在于,进一步包含将氧化气体引入所述腔室。
8、根据权利要求1所述的方法,其特征在于,进一步包含利用紫外线、电子束、热后处理或其组合后处理所述低介电常数薄膜。
9、一种用于沉积低介电常数薄膜的方法,包含:
以第一流速将第一有机硅化合物引入腔室,其中所述第一有机硅化合物中每个Si原子具有一个或多个Si-C键的平均数;
以第二流速将第二有机硅化合物引入所述腔室,其中所述第二有机硅化合物中每个Si原子具有平均数的Si-C键,该平均数大于在所述第一有机硅化合物中每个Si原子的Si-C键平均数,以及其中所述第二流速除以所述第一流速与第二流速的总和在大约5%和大约50%之间;
将热不稳定化合物引入所述腔室中;以及
在存在射频功率下,使所述第一有机硅化合物、所述第二有机硅化合物和所述热不稳定化合物反应,以在所述腔室中的衬底上沉积低介电常数薄膜。
10、根据权利要求9所述的方法,其特征在于,进一步包含将氧化气体引入所述腔室。
11、根据权利要求9所述的方法,其特征在于,所述热不稳定化合物为碳氢化合物。
12、根据权利要求11所述的方法,其特征在于,所述碳氢化合物为环烃。
13、根据权利要求12所述的方法,其特征在于,所述环烃选自α-松油烯、二环庚二烯、乙烯基环已胺和乙酸苯酯组成的组。
14、根据权利要求9所述的方法,其特征在于,进一步包含利用紫外线、电子束、热后处理或其组合后处理所述低介电常数薄膜。
15、根据权利要求9所述的方法,其特征在于,所述第一有机硅化合物包含至少一种Si-O键、Si-C键和Si-H键。
16、根据权利要求15所述的方法,其特征在于,所述第一有机硅化合物包含两个Si-O键。
17、一种用于沉积低介电常数薄膜的方法,包含:
以第一流速将甲基二乙氧基硅烷引入腔室;
以第二流速将三甲基硅烷引入所述腔室,其中所述第二流速除以所述第一流速与第二流速的总和在大约5%和大约50%之间;
将α-松油烯引入所述腔室中;以及
在存在射频功率下,使所述甲基二乙氧基硅烷、三甲基硅烷和α-松油烯反应,以在所述腔室中的衬底上沉积低介电常数薄膜。
18、根据权利要求17所述的方法,其特征在于,进一步包含将氧化气体引入所述腔室。
19、根据权利要求18所述的方法,其特征在于,所述第二流速除以所述第一流速与第二流速的总和在大约10%和大约45%之间。
20、根据权利要求17所述的方法,其特征在于,进一步包含利用紫外线、电子束、热后处理或其组合后处理所述低介电常数薄膜。
CN2006800445403A 2005-12-13 2006-12-08 低介电常数薄膜的灰化/湿法蚀刻损伤的抵抗性以及整体稳定性的改进方法 Expired - Fee Related CN101316945B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/304,847 US20070134435A1 (en) 2005-12-13 2005-12-13 Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US11/304,847 2005-12-13
PCT/US2006/061789 WO2007117320A2 (en) 2005-12-13 2006-12-08 A method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films

Publications (2)

Publication Number Publication Date
CN101316945A true CN101316945A (zh) 2008-12-03
CN101316945B CN101316945B (zh) 2013-03-20

Family

ID=38139722

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800445403A Expired - Fee Related CN101316945B (zh) 2005-12-13 2006-12-08 低介电常数薄膜的灰化/湿法蚀刻损伤的抵抗性以及整体稳定性的改进方法

Country Status (5)

Country Link
US (1) US20070134435A1 (zh)
JP (1) JP2009519612A (zh)
KR (1) KR20080083662A (zh)
CN (1) CN101316945B (zh)
WO (1) WO2007117320A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102543844A (zh) * 2010-12-30 2012-07-04 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
CN106910710A (zh) * 2015-12-23 2017-06-30 中芯国际集成电路制造(上海)有限公司 一种介电层及互连结构的制作方法、半导体器件

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
CN101589459A (zh) * 2007-01-26 2009-11-25 应用材料股份有限公司 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化
CN101595559B (zh) * 2007-01-29 2012-01-04 应用材料股份有限公司 形成镶嵌结构的方法
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
CN102077316A (zh) * 2008-06-27 2011-05-25 应用材料股份有限公司 用于高产量及稳定逐基材表现的快速周期和广泛的后期紫外臭氧清洗程序的添加
US20100018548A1 (en) * 2008-07-23 2010-01-28 Applied Materials, Inc. Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
JP4708465B2 (ja) * 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US20150284849A1 (en) * 2014-04-07 2015-10-08 Applied Materials, Inc. Low-k films with enhanced crosslinking by uv curing
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4845054A (en) * 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2531906B2 (ja) * 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション 発泡重合体
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
KR19990030660A (ko) * 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
JP3952560B2 (ja) * 1997-10-31 2007-08-01 日本ゼオン株式会社 複合フィルム
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6432846B1 (en) * 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) * 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6524874B1 (en) * 1998-08-05 2003-02-25 Micron Technology, Inc. Methods of forming field emission tips using deposited particles as an etch mask
US6169039B1 (en) * 1998-11-06 2001-01-02 Advanced Micro Devices, Inc. Electron bean curing of low-k dielectrics in integrated circuits
US6303047B1 (en) * 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6509259B1 (en) * 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6709715B1 (en) * 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6458720B1 (en) * 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US6407399B1 (en) * 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6271146B1 (en) * 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
EP1094506A3 (en) * 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6316063B1 (en) * 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6444136B1 (en) * 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP3505520B2 (ja) * 2001-05-11 2004-03-08 松下電器産業株式会社 層間絶縁膜
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US20030040195A1 (en) * 2001-08-27 2003-02-27 Ting-Chang Chang Method for fabricating low dielectric constant material film
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7196422B2 (en) * 2001-12-14 2007-03-27 Intel Corporation Low-dielectric constant structure with a multilayer stack of thin films with pores
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US20040109950A1 (en) * 2002-09-13 2004-06-10 Shipley Company, L.L.C. Dielectric materials
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
JP4938222B2 (ja) * 2004-02-03 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7166531B1 (en) * 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102543844A (zh) * 2010-12-30 2012-07-04 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
CN102543844B (zh) * 2010-12-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
CN106910710A (zh) * 2015-12-23 2017-06-30 中芯国际集成电路制造(上海)有限公司 一种介电层及互连结构的制作方法、半导体器件
CN106910710B (zh) * 2015-12-23 2019-10-25 中芯国际集成电路制造(上海)有限公司 一种介电层及互连结构的制作方法、半导体器件

Also Published As

Publication number Publication date
JP2009519612A (ja) 2009-05-14
WO2007117320A3 (en) 2007-12-13
CN101316945B (zh) 2013-03-20
KR20080083662A (ko) 2008-09-18
US20070134435A1 (en) 2007-06-14
WO2007117320A2 (en) 2007-10-18

Similar Documents

Publication Publication Date Title
CN101316945B (zh) 低介电常数薄膜的灰化/湿法蚀刻损伤的抵抗性以及整体稳定性的改进方法
KR100642618B1 (ko) 다공성의 저 유전율 조성물 및 이를 제조하고 사용하는방법
KR101327923B1 (ko) 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법
US20070092732A1 (en) Low k dielectric inorganic/organic hybrid films and method of making
JP5711176B2 (ja) 組成物
CN101743247B (zh) 利用等离子体增强化学气相沉积来制造高机械性能的极低k膜的硅前驱物
CN102113099A (zh) 通过等离子体增强化学气相沉积制造具有高机械特性的特别低k膜层的新式硅前驱物
US20110313184A1 (en) Insulating film material, and film formation method utilizing the material, and insulating film
CN102162091B (zh) 具有优良集成性能的低k前体
JP2011528508A (ja) 障壁層と多様な液体前駆体から堆積される多孔質低k膜との間の付着を促進するための方法
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
TWI798884B (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜
US20220388033A1 (en) Precursors for depositing films with high elastic modulus
KR20220160071A (ko) 고 탄성 계수를 갖는 막들을 증착하기 위한 신규한 전구체들
KR20220035506A (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130320

Termination date: 20141208

EXPY Termination of patent right or utility model