CN101094733B - 静电卡盘的湿法清洗 - Google Patents

静电卡盘的湿法清洗 Download PDF

Info

Publication number
CN101094733B
CN101094733B CN200580045503XA CN200580045503A CN101094733B CN 101094733 B CN101094733 B CN 101094733B CN 200580045503X A CN200580045503X A CN 200580045503XA CN 200580045503 A CN200580045503 A CN 200580045503A CN 101094733 B CN101094733 B CN 101094733B
Authority
CN
China
Prior art keywords
chuck
esc
ceramic surface
impurities
cleans
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200580045503XA
Other languages
English (en)
Other versions
CN101094733A (zh
Inventor
H·什
黄拓川
C·周
B·莫瑞尔
B·麦科米林
P·穆尔格鲁
A·阿弗彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101094733A publication Critical patent/CN101094733A/zh
Application granted granted Critical
Publication of CN101094733B publication Critical patent/CN101094733B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/12Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by sonic or ultrasonic vibrations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/14Removing waste, e.g. labels, from cleaning liquid; Regenerating cleaning liquids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

用于清洗新的或用过的静电卡盘的非-破坏性并且简单的方法,包括湿法清理过程,其将沉积在静电卡盘表面上的污染物除去。

Description

静电卡盘的湿法清洗
背景
半导体加工设备例如等离子体蚀刻室的一个组件-静电卡盘(ESC可用于在例如于化学气相沉积(CVD)、物理气相沉积(PVD)或者蚀刻反应器中加工期间半导体晶片或玻璃基材(即平板显示器)的输送、固定和/或温度控制。ESC通常表现出短的寿命,导致故障包括例如动态校正故障、ESC与支承的基材的下侧之间氦冷却气体的高泄漏、增加的脱卡盘时间和基材粘合在ESC上或者脱卡盘故障。ESC的早期故障可能造成基材断裂、影响产量、导致颗粒和缺陷问题并且增加掺入这些ESC的等离子体加工设备的所有权成本。
概述
提供了一种清洗可用于半导体基材上介电层的等离子体蚀刻的新的或用过的静电卡盘的方法。该卡盘包括在蚀刻期间其上支承有半导体基材的陶瓷表面。该方法包括:将卡盘的至少陶瓷表面与(a)异丙醇;(b)包含过氧化氢和氢氧化铵的碱性溶液;(c)包含氢氟酸和硝酸混合物的稀酸性溶液和/或包含盐酸和过氧化氢混合物的稀酸性溶液接触;和/或(d)超声波清洗;由此将污染物从卡盘的陶瓷表面上除去。当清理先前用于在半导体基材上介电层的等离子体蚀刻期间支承半导体基材的用过的卡盘时,该方法优选进一步包括将卡盘的至少陶瓷表面与四甲基氢氧化铵接触。
详述
一种用于清洗ESC的非-破坏性并且简单的方法包括湿法清洗过程,该过程不需要剥去或者至少部分除去ESC上的陶瓷层和将陶瓷层重新沉积在ESC上。该湿法清洗过程包括用有机溶剂、碱性溶液、任选的四甲基氢氧化铵(TMAH)和稀酸性溶液清洗ESC以及超声波清洗。
用过的ESC的扫描电子显微镜法(SEM)和能量分散光谱(EDS)分析表明在蚀刻之后污染物沉积在陶瓷ESC表面上。这些污染物改变了ESC的表面特性并且容易造成早期故障,因为ESC性能极大地取决于ESC表面的清洁度。在制造新的卡盘期间或者当用于电介质等离子体蚀刻时沉积在ESC表面上的污染物当中有有机杂质、金属杂质、氟化物杂质、电极杂质、硅颗粒、表面颗粒和其组合。更具体地,氟化物杂质的例子包括例如氟化铝、氟化钛和其组合;金属杂质的例子包括铁、铬、镍、钼、钒和其组合;电极杂质的例子包括钨、磷和其组合;硅颗粒的例子包括例如Si、SiO2和其组合。已经惊奇地发现借助于湿法清理过程,可以将新的ESC预先处理并且可以通过将由制造产生的或者在蚀刻期间沉积在ESC上的污染物清洗以更新陶瓷表面而将用过的ESC恢复。
本文中使用的“电介质ESC”是指用于电介质蚀刻工艺例如等离子体蚀刻氧化硅和低-k材料的ESC。例举的电介质ESC可以包括带有其上支承有半导体或基材例如晶片的陶瓷表面的金属基体(例如阳极化铝合金或非-阳极化铝合金)。作为例子,陶瓷表面可以包括烧结层压物,该层压物包含在两个陶瓷层(例如约20密耳厚的薄陶瓷层)之间图案化难熔(例如钨或钼)电极。可以用粘合材料例如含有导电粉末(例如铝、硅等)的硅氧烷基材料将该层压物粘合在金属基体上。该金属基体约1.5英寸厚,通常包括RF和DC动力进给、起模针用的通孔、氦气通道、用于温度控制的流体循环的槽、温度传感配置等。
ESC通常是Coulombic或Johnsen-Rahbek类型。Coulombic型ESC使用具有较高电阻以生成库仑静电力的电介质表面层。对于较低的外加电压通常提供较高的静电夹持力的Johnsen-Rahbek型ESC使用较低电阻的电介质表面层例如掺杂有如TiO2的Al2O3
根据一个实施方案,Johnsen-Rahbek型ESC的陶瓷介电层可以包含94%Al2O3、4%SiO2、1%TiO2和1%CaO以及微量的MgO、Si、Ti、Ca和Mg。根据另一个实施方案,对于Coulombic型ESC,陶瓷介电层可以包含大于或等于99%的Al2O3。因此,取决于陶瓷层的组成,可以不将一些元素例如Ti、Si、Mg和Ca看作是将通过湿法清洗过程除去的污染物。相反,优选通过湿法清洗过程将一些污染物例如金属颗粒和电极颗粒(例如钨或钼)从ESC表面除去。
在新的ESC上可能发现一些污染物例如有机杂质、金属杂质和电极杂质,而一些污染物例如有机杂质、氟化物杂质和硅颗粒可能在电介质蚀刻期间沉积在用过的ESC的陶瓷表面上。湿法清洗过程的组分即有机溶剂、碱性溶液、任选的TMAH、稀酸性溶液和超声波清洗起到了将可能在陶瓷ESC表面上发现的特定污染物除去的作用。
例如,异丙醇(IPA,100%,符合SEMI规格C41-1101A,等级1或更好)起到了除去有机杂质的作用。尽管考虑了可以使用其他有机溶剂,但优选避免丙酮,因为丙酮可能侵蚀ESC粘合材料。
碱性溶液起到了除去有机杂质、金属杂质和氟化钛的作用。例举的用于湿法清洗过程的碱性溶液可以包括过氧化氢(H2O2)(30%,半导体等级,符合SEMI规格C30-1101,等级1或更好)和氢氧化铵(NH4OH)(29%,半导体等级,符合SEMI规格C21-0301,等级1或更好)。过氧化氢是具有高的标准还原电势的强氧化剂。在至少高达70℃下稳定的氢氧化铵和过氧化氢的弱碱性溶液中,过氧化氢可以与金属反应形成金属离子。过氧化氢的标准还原电势为:
H2O2+2H++2e-=2H2O
E°=1.776V(相对于标准氢电极(SHE))
并且弱碱性溶液中过氧化氢的标准还原电势为:
HO2 -+H2O+2e-=3OH-
E°=0.878V(相对于SHE)。
氢氧化铵可以与金属杂质形成络合物离子例如Cu(NH3)4 2+和Ni(NH3)4 2+。由于过氧化氢的使用提高了ESC陶瓷表面的表面电势,因此其可能减少在先前的ESC陶瓷表面的化学清洗之后金属的重新沉积或表面吸收。例如,铜的标准还原电势为:
Cu2++2e-=Cu
E°=0.337V(相对于SHE)
并且硅的还原电势为:
Si+2H2O+2H++2e-=2H2O
E°=-0.857V(相对于SHE)。
因此,硅可以将电子提供给Cu2+以形成铜金属,铜金属可以吸收在ESC陶瓷表面上。过氧化氢可以将电子从硅中除去,使得铜形成可以被除去的Cu(NH3)4 2+
任选的TMAH(例如2.38wt%,得自于Cyantek,Corp.,Fremont,CA的CC-238S非-离子显影剂)起到了除去可能在用过的ESC上发现的污染物-氟化铝的作用。因此,优选用TMAH清洗用过的ESC。
例举的用于湿法清洗过程的酸性溶液可以包括氢氟酸(HF)(49%,半导体等级,符合SEMI规格C28-0301,等级1或更好)和硝酸(HNO3)(67%,半导体等级,符合SEMI规格C35-0301,等级1或更好)。硝酸起到除去金属颗粒和电极杂质的作用,氢氟酸起到除去硅颗粒例如SiO2的作用。氢氟酸与SiO2的反应如下:
4HF+SiO2=SiF4+2H2O
6HF+SiO2=H2SiF6+2H2O
在氢氟酸溶液中由于低的反应常数k1=1.3×10-3mol/l,因此有低浓度的H+离子和F-离子。带有普通H+离子的硝酸的存在将导致甚至更低浓度的F-离子。由于氢氟酸可能侵蚀陶瓷表面的晶粒边界,因此在将氢氟酸施加在陶瓷表面上时优选特别地注意。尽管不希望受理论的束缚,但我们认为对于金属和金属离子去污而言,硝酸的加入是有效的。由于硝酸是强的氧化剂,因此其可以与活性金属例如铁、镍、铝、锌以及惰性金属例如铜反应。硝酸的标准还原电势为:
NO3 -+4H++3e-=NO+2H2O
E°=0.957V(相对于SHE)。
另一种例举的用于湿法清洗过程的酸性溶液可以包括盐酸(HCl)(符合SEMI规格C28-0301,等级2或更好)和过氧化氢。该酸性溶液起到除去金属杂质和电极杂质的作用。陶瓷表面上的金属污染物可以包括例如铜、铁、镍、钛、铝和其他金属颗粒。根据Pourbaix图(E对pH),为了将铜污染物从ESC陶瓷表面除去,应该将清洗液的pH保持在对于Cu2+而言为小于或等于6.0或者对于Cu(OH)4 2-而言为大于或等于12.5,并且应该将ESC陶瓷表面上的反应电势控制在相对于SHE为0.50伏特或更高。在酸性溶液中使用硝酸和过氧化氢将提供合适的陶瓷表面电势而实现铜的有效除去。尽管将不能预期氢氟酸单独将铜污染物从ESC陶瓷表面上除去,但硝酸与氢氟酸和/或过氧化氢与氢氧化铵的溶液将提供更有效的ESC陶瓷表面的铜去污。金属颗粒例如铁、镍、钛等可以有效地通过盐酸和过氧化氢的溶液除去,因为铁和镍可溶于盐酸中并且钛可以被过氧化氢氧化并且然后溶于盐酸溶液中。包含盐酸和过氧化氢的酸性溶液表现出有效地将金属和金属离子例如铝、铁、镍和铜去污。
用于湿法清洗过程的酸性溶液可以包含氢氟酸和硝酸的混合物和/或盐酸和过氧化氢的混合物。使用的酸性溶液可以基于ESC的类型和在电介质蚀刻期间其经受的条件。例如,为了防止破坏在高功率(例如3000-6000W)下工作的Johnsen-Rahbek型ESC的陶瓷表面,该ESC优选不用氢氟酸和硝酸清洗。
优选通过擦拭使ESC的陶瓷表面与酸性溶液和TMAH接触,同时ESC位于夹具上,陶瓷表面朝下。夹具的使用使得能够用酸性溶液或TMAH清洗而不会造成酸性溶液捕集在ESC的通道中和损坏粘合层。
除了将ESC的陶瓷表面与上述湿法清洗过程的组分接触之外,可以通过小心使用擦洗垫例如3MTM white Scotch Brite促进局部污点的清洗。擦洗有助于除去ESC陶瓷表面上的沉积物和污染物(例如聚合物聚集物)。
超声波清洗起到除去表面颗粒以及捕集在ESC的通道例如水道、温度传感器孔、起模针孔和通孔例如氦供给孔和相关的微孔道中的颗粒。在超声波清洗之后,在BSC陶瓷表面上希望有小于0.17个颗粒/cm2的颗粒密度。
在湿法清洗过程期间不希望ESC的粘合区域被化学侵蚀。因此,通过将粘合点暴露于不同化学物质下而系统地研究ESC粘合点的耐腐蚀性,结果示于表I中。
表I
Figure G200580045503XD00061
√优良的耐腐蚀性或非常轻微的腐蚀
*取决于使用的粘合材料的类型
○可以使用,但带有显著的腐蚀或损坏
×严重的腐蚀或损坏(不能使用)
发现用MicroShieldTM掩蔽助剂(Structure Probe,Inc.,WestChester,PA)涂覆粘合区域、干燥30分钟并且用耐化学性带(例如KaptonTM带或3MTM电镀带#470、484或854)覆盖是保护粘合区域的有效方式。
类似地,与水、含水化学物质或丙酮但不与IPA接触可能负面影响ESC背面的电触点,包括与塑料绝缘器的触点和银涂覆的触点。因此,优选通过用掩蔽材料和/或耐化学性带覆盖而保护ESC上的电触点和暴露的粘合材料。
如上所述,可以在将ESC进行湿法清洗过程之前分析ESC表面例如含晶片的陶瓷表面以确定是否在ESC表面上发现污染物。另外,可以在将ESC进行湿法清洗过程之后分析ESC表面以确定是否在ESC表面上发现污染物。另外,可以在将ESC进行湿法清洗过程之前并且优选在将ESC进行湿法清洗过程之后测试ESC的等离子体蚀刻室性能。
等离子体蚀刻室性能测试包括脱卡盘性能例如不同晶片类型的脱卡盘时间、脱卡盘时间对反极性电压(RPV)、脱卡盘时间对保持电压,和到达氦气极限的时间(氦气上升时间)对保持电压。另一些腔室性能测试包括例如晶片温度测量、动态排列测量、I-V曲线测量例如极点-极点对电流,和确定反极性最优化。
等离子体蚀刻室性能测试表明在将用过的ESC湿法清洗之后:(1)I-V测量期间ESC电流降低、(2)最佳RPV转化成较低电压和(3)改进氦气上升时间结果。因此,脱卡盘时间在清洗之后将降低、可以在延长的保持电压范围内将晶片脱卡盘并且最佳RPV的范围在清洗之后变宽。
在将ESC进行湿法清洗过程之前和/或之后进行的ESC测量包括:表面粗糙度(21个点)、表面颜色均匀度、陶瓷电介质厚度、陶瓷介电层体积电阻率、电阻率、电介质电阻和极点到基板的电阻、表面图案观察(White Light Interferometer(
Figure G200580045503XD00071
Middlefield,CT))、用于表面形态的SEM和EDS分析以及组成分析,和ESC陶瓷表面的感应耦合等离子体质谱分析(ICPMS)。
实施例
提供可用于清洗新的和用过的ESC的以下湿法清洗过程用于说明,但非限制性的。
实施例1
用耐化学性带保护用过的电介质ESC背面上的电触点,包括与塑料绝缘体的触点和银涂覆的触点。通过用MicroShieldTM掩蔽助剂涂覆粘合材料、干燥30分钟并且用耐化学性带覆盖而保护在ESC的边缘、刚好在陶瓷表面层以下的暴露的粘合材料。
用超纯的去离子水(UPW,25℃下电阻率≥18Mohm-cm)清洗ESC 5分钟、用过滤的(0.05-0.1μm)氮气吹掉过量的水、将ESC浸泡(浸渍)在IPA中20分钟,并且用不含棉绒的净室擦布擦拭ESC。
将ESC浸入30%H2O2溶液中20分钟,并且用不含棉绒的净室擦布擦拭陶瓷表面。如果需要,通过小心地使用3MTM white Scotch Brite除去局部污点。用UPW清洗ESC 5分钟并且用过滤的氮气吹掉过量的水。
采用不含棉绒的净室擦布用IPA擦拭ESC、用UPW清洗ESC 5分钟,并且用过滤的氮气吹掉过量的水。
将ESC置于夹具上,陶瓷表面朝下。用不含棉绒的净室擦布和HF∶HNO3∶H2O溶液(1∶5∶50比例)擦拭陶瓷表面最多30秒。可以将3MTMwhite Scotch Brite与该溶液一起使用。用UPW清洗ESC,包括所有的氦气孔和槽10分钟,并且用过滤的氮气吹掉过量的水。
ESC在夹具上朝下,用不含棉绒的净室擦布和HCl∶H2O2∶H2O溶液(1∶2∶10比例)擦拭陶瓷表面最多3分钟。可以将3MTM white ScotchBrite与该溶液一起使用。用UPW清洗ESC,包括所有的氦气孔和槽10分钟,并且用过滤的氮气吹掉过量的水。
ESC在夹具上朝下,取决于氟化物沉积程度用不含棉绒的净室擦布和2.38%的TMAH溶液擦拭陶瓷表面5-10分钟,避免金属基体与溶液的接触。可以将3MTM white Scotch Brite与该溶液一起使用。用UPW清洗ESC 5分钟,并且用过滤的氮气吹掉过量的水。
将ESC从夹具中取出并且将ESC浸入H2O2∶NH4OH∶H2O溶液(1∶1∶2比例)中20分钟、用不含棉绒的净室擦布或3MTM white Scotch Brite擦拭ESC、用UPW清洗ESC 5分钟,并且用过滤的氮气吹掉过量的水。
将ESC朝下置于夹具上,陶瓷表面朝下。用不含棉绒的净室擦布和HCl∶H2O2∶H2O溶液(1∶2∶10比例)擦拭陶瓷表面最多30秒。可以将3MTM white Scotch Brite与该溶液一起使用。用UPW清洗ESC,包括所有的氦气孔和槽10分钟,并且用过滤的氮气吹掉过量的水。
使用丙酮和棉拭子将MicroShieldTM掩蔽助剂从ESC边缘除去。将ESC移到Class 1000净室中并且用表面粗糙度测试仪例如FowlerPocket Surf(Fred V.Fowler Co.,Inc.,Newton,MA)测量陶瓷表面的粗糙度。在室温下将ESC置于(浸入)装满UPW的超声罐并且清洗ESC60分钟。在超声罐中将ESC定位,陶瓷表面朝下但支承在罐底部上方。在超声波清洗期间陶瓷表面应该不与罐接触。将耐化学性带从ESC背面取下、用IPA擦拭ESC,并且用IPA清洗ESC背面上的氦气孔和槽。用通过软管或软嘴的喷嘴供送的氮气吹干ESC,包括氦气孔和槽。
将ESC移到Class 100净室中并且将其置于喷灯下或者在烘箱中在120℃下将其烘焙90分钟,并且使ESC冷却至50-60℃。用例如
Figure G200580045503XD00091
+表面颗粒探测器(Pentagon Technologies,Livermore,CA)测量陶瓷表面上的表面颗粒。
实施例2
实施例2的步骤类似于实施例1的步骤。然而,如实施例2所示,清洗时间和清洗组分可以改变。保护ESC背面上的电触点并且用IPA擦拭ESC。
将ESC浸入30%H2O2溶液中20分钟,并且用不含棉绒的净室擦布擦拭陶瓷表面。如果需要,通过小心地使用3MTM white Scotch Brite除去局部污点或者可以使用细的垫(砂纸)。用UPW清洗ESC 5分钟并且用过滤的氮气吹掉过量的水。
将ESC浸入IPA中20分钟、采用不含棉绒的净室擦布擦拭ESC、用UPW清洗ESC 5分钟,并且用过滤的氮气吹掉过量的水。
将ESC置于夹具上,陶瓷表面朝下。用不含棉绒的净室擦布和HF∶HNO3∶H2O溶液(1∶5∶50比例)擦拭陶瓷表面最多30秒。可以将3MTMwhite Scotch Brite或细的垫与该溶液一起使用。用UPW清洗ESC,包括所有的起模针孔以及氦气供给孔和槽10分钟,并且用过滤的氮气吹掉过量的水。
ESC在夹具上朝下,取决于ESC陶瓷表面上的氟化物沉积程度用不含棉绒的净室擦布和2.38%的TMAH溶液擦拭陶瓷表面5-10分钟,避免金属基体与溶液的接触。用UPW清洗ESC 5分钟,并且用过滤的氮气吹掉过量的水。
将ESC从夹具中取出并且将ESC浸入NH4OH∶H2O2∶H2O溶液(1∶7∶8比例)中20分钟、用不含棉绒的净室擦布或3MTM white Scotch Brite擦拭ESC、用UPW清洗ESC 5分钟,并且用过滤的氮气吹掉过量的水。
将ESC朝下置于夹具上,用不含棉绒的净室擦布和HCl∶H2O2∶H2O溶液(1∶2∶10比例)擦拭陶瓷表面最多3分钟。可以将3MTM white ScotchBrite与该溶液一起使用。用UPW清洗ESC,包括所有的氦气孔和槽10分钟,并且用过滤的氮气吹掉过量的水。
在室温下将ESC置于装满UPW的超声罐并且清洗ESC60分钟。用UPW清洗ESC 5分钟并且用过滤的氮气吹掉过量的水。将耐化学性带从ESC背面取下、用IPA擦拭ESC,并且用IPA清洗ESC背面上的氦气孔和槽。将ESC吹干,包括氦气孔和槽。
将ESC移到Class 100净室中并且将其置于喷灯下或者在烘箱中在120℃下将其烘焙90分钟,并且使ESC冷却。测量陶瓷表面上的表面颗粒和表面粗糙度。
实施例3
表II提供了在湿法清洗过程之前和之后用过的ESC的EDS元素表面组成分析结果。“平均”是指相对大的ESC陶瓷表面面积,例如放大200倍。因此,一些污染物不能以“平均”测得。相反,“颗粒”是指ESC的陶瓷表面上的单个颗粒或杂质,包括金属颗粒或电极颗粒。
表II
Figure G200580045503XD00111
实施例4
表III提供了在湿法清洗过程之前和之后用过的ESC的ICPMS元素表面浓度(×1010原子/cm2)。“提取”是指其中将化学蚀刻溶液涂覆在ESC的陶瓷表面上以使表面污染物溶于溶液中的过程。然后收集溶液用于I CPMS分析。因此,可以测量初始表面污染物含量以及在湿法清洗过程之后污染物的含量和湿法清洗过程的效率。通过将提取过程重复几次,可以确定湿法清洗过程的终点。由于加工晶片的背面将接触ESC的表面,因此在晶片生产过程中希望ESC的表面干净。
表III
Figure G200580045503XD00121
实施例5-7
表IV-VI提供了在湿法清洗过程之前和之后三种不同用过的ESC的ICPMS元素表面浓度(×1010原子/cm2)。
表IV
  元素   清洗前   清洗后
  铝(Al)   560,000   7,600
  锑(Sb)   270   1.0
  砷(As)   <5   <5
  钡(Ba)   99   41
  铍(Be)   99   <20
  铋(Bi)   <0.5   <0.5
  硼(B)   3,500   <200
  镉(Cd)   6.1   <1
  钙(Ca)   56,000   450
  铬(Cr)   85   <20
  钴(Co)   540   <5
  铜(Cu)   200   19
  镓(Ga)   11   <1
  锗(Ge)   <2   <2
  铁(Fe)   40,000   140
  铅(Pb)   28   3.8
  锂(Li)   110   <20
  镁(Mg)   35,000   360
  锰(Mn)   100   <5
  钼(Mo)   21   <2
  镍(Ni)   640   <10
  元素   清洗前   清洗后
  钾(K)   27,000   <50
  钠(Na)   63,000   260
  锶(Sr)   32   <2
  锡(Sn)   76   <5
  钛(Ti)   13,000   240
  钨(W)   96   <2
  钒(V)   34   <5
  锌(Zn)   3,100   120
  锆(Zr)   84   1.5
表V
  元素   清洗前   清洗后
  铝(Al)   860,000   15,000
  锑(Sb)   1.2   4.9
  砷(As)   <5   <5
  钡(Ba)   3,000   180
  铍(Be)   <20   <20
  铋(Bi)   1.5   <0.5
  硼(B)   1,300   3,600
  镉(Cd)   <1   <1
  钙(Ca)   1,100,000   2,200
  铬(Cr)   1,200   130
  钴(Co)   46   <5
  元素   清洗前   清洗后
  铜(Cu)   38   50
  镓(Ga)   17   10
  锗(Ge)   <2   <2
  铁(Fe)   7,900   510
  铅(Pb)   3.7   19
  锂(Li)   82   <20
  镁(Mg)   38,000   2,400
  锰(Mn)   <5   <5
  钼(Mo)   <2   <2
  镍(Ni)   87   <10
  钾(K)   790   64
  钠(Na)   4,300   700
  锶(Sr)   630   6.5
  锡(Sn)   <5   <5
  钛(Ti)   5,300   1,200
  钨(W)   260   26
  钒(V)   <5   <5
  锌(Zn)   97   560
  锆(Zr)   180   17
表VI
  元素   清洗前   清洗后
  铝(Al)   51,000   51,000
  元素   清洗前   清洗后
  锑(Sb)   1.5   2.8
  砷(As)   17   6.5
  钡(Ba)   15   35
  铍(Be)   <20   <20
  铋(Bi)   <0.5   <0.5
  硼(B)   1,300   1,300
  镉(Cd)   <1   <1
  钙(Ca)   2,800   1,800
  铬(Cr)   700   280
  钴(Co)   <5   <5
  铜(Cu)   90   36
  镓(Ga)   8.8   9.6
  锗(Ge)   <2   <2
  铁(Fe)   580   490
  铅(Pb)   6.4   15
  锂(Li)   39   <20
  镁(Mg)   2,400   2,500
  锰(Mn)   <5   <5
  钼(Mo)   <2   2.0
  镍(Ni)   33   <10
  钾(K)   190   110
  钠(Na)   1,500   700
  元素   清洗前   清洗后
  锶(Sr)   7.5   3.7
  锡(Sn)   <5   <5
  钛(Ti)   1,800   1,600
  钨(W)   43   78
  钒(V)   <5   <5
  锌(Zn)   380   140
  锆(Zr)   19   35
按照表IV清洗的ESC的陶瓷表面层掺杂有钛。
尽管已经描述了不同的实施方案,但将理解的是本领域那些技术人员将明显知道可以诉诸于改变和改进。这些改变和改进将被看作是处于附属的权利要求书的权限和范围内。

Claims (24)

1.清洗用于半导体基材上介电层的等离子体蚀刻的静电卡盘的方法,该卡盘包括在蚀刻期间其上支承有半导体基材的陶瓷表面,该方法包括以下步骤:
a)将卡盘的至少陶瓷表面与异丙醇接触;
b)将卡盘的至少陶瓷表面与包含氢氟酸和硝酸混合物的稀酸性溶液和/或包含盐酸和过氧化氢混合物的稀酸性溶液接触;
c)将卡盘的至少陶瓷表面与包含过氧化氮和氢氧化铵的碱性溶液接触;和
d)将卡盘进行超声波清洗;
其中通过所述方法将污染物从卡盘的陶瓷表面上除去。
2.权利要求1的方法,其中污染物为表面颗粒。
3.权利要求1的方法,其中污染物选自金属杂质、有机杂质、氟化物杂质、硅颗粒和其组合。
4.权利要求1的方法,其中污染物为电极杂质。
5.权利要求2-4任意一项的方法,其中卡盘是先前用于在半导体基材上介电层的等离子体蚀刻期间支承半导体基材的用过的卡盘,该用过的卡盘在陶瓷表面上含有选自氟化铝、氟化钛和其组合的氟化物杂质,清洗进一步包括将卡盘的陶瓷表面与四甲基氢氧化铵接触以除去氟化物杂质。
6.权利要求2-4任意一项的方法,其中卡盘是新的或者先前用于在半导体基材上介电层的等离子体蚀刻期间支承半导体基材的用过的卡盘,该用过的卡盘含有有机杂质,清洗用异丙醇和/或碱性溶液除去有机杂质.
7.权利要求2-4任意一项的方法,其中卡盘是含有选自铁、铬、镍、钼、钒和其组合的金属杂质的新卡盘,清洗用碱性溶液和/或稀酸性溶液除去金属杂质.
8.权利要求2-4任意一项的方法,其中卡盘是先前用于在半导体基材上介电层的等离子体蚀刻期间支承半导体基材的用过的卡盘,该用过的卡盘含有氟化物杂质,包括氟化钛,清洗用碱性溶液除去氟化钛。
9.权利要求2-4任意一项的方法,其中卡盘是先前用于在半导体基材上介电层的等离子体蚀刻期间支承半导体基材的用过的卡盘,该用过的卡盘含有选自Si、SiO2和其组合的硅颗粒,清洗用稀酸性溶液除去硅颗粒。
10.权利要求9的方法,其中该稀酸性溶液包含氢氟酸和硝酸混合物,清洗用氢氟酸除去硅颗粒。
11.权利要求2-4任意一项的方法,其中卡盘是含有选自钨、磷和其组合的电极杂质,和选自铁、铬、镍、铂、钒和其组合的金属杂质的新卡盘;清洗用稀酸性溶液除去电极和金属杂质。
12.权利要求11的方法,其中该稀酸性溶液包含氢氟酸和硝酸混合物,清洗用硝酸除去电极和金属杂质。
13.权利要求11的方法,其中稀酸性溶液包含盐酸和过氧化氢混合物,清洗用盐酸和过氧化氢混合物除去电极和金属杂质.
14.权利要求2-4任意一项的方法,其中超声波清洗将表面颗粒从陶瓷表面上除去并且除去了捕集在卡盘中的起模针孔和其他通道内的颗粒。
15.权利要求1的方法,其进一步包括在清洗前通过用掩蔽材料和/或耐化学性带覆盖电触点和粘合材料而保护卡盘上的电触点和暴露的粘合材料.
16.权利要求1的方法,其中清洗包括在超声波清洗期间将卡盘浸入异丙醇、碱性溶液和/或水中。
17.权利要求1的方法,其中卡盘是先前用于在半导体基材上介电层的等离子体蚀刻期间支承半导体基材的用过的卡盘,该用过的卡盘的清洗包括用擦洗垫清洗陶瓷表面。
18.权利要求17的方法,其中该擦洗垫除去了在用过的卡盘上的聚合物聚集物.
19.权利要求1的方法,其进一步包括在清洗卡盘之前和/或之后在等离子体蚀刻室中测试卡盘的等离子体蚀刻室性能。
20.权利要求1的方法,其进一步包括在清洗之前和/或之后分析陶瓷表面以确定是否在陶瓷表面上发现污染物。
21.权利要求1的方法,其包括在所述步骤d)之前的任意时间下在夹具中支承卡盘,陶瓷表面朝下,同时用稀酸性溶液和/或四甲基氢氧化铵擦拭陶瓷表面。
22.权利要求1的方法,其包括将卡盘的至少陶瓷表面与包含氢氟酸和硝酸混合物的稀酸性溶液以及包含盐酸和过氧化氢混合物的稀酸性溶液接触。
23.权利要求1的方法,其中步骤b)在步骤a)之后、步骤c)在步骤b)之后,并且步骤d)在步骤c)之后.
24.按照权利要求1的方法清洗的静电卡盘。
CN200580045503XA 2004-12-01 2005-11-21 静电卡盘的湿法清洗 Active CN101094733B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/000,388 2004-12-01
US11/000,388 US7052553B1 (en) 2004-12-01 2004-12-01 Wet cleaning of electrostatic chucks
PCT/US2005/042294 WO2006060234A2 (en) 2004-12-01 2005-11-21 Wet cleaning of electrostatic chucks

Publications (2)

Publication Number Publication Date
CN101094733A CN101094733A (zh) 2007-12-26
CN101094733B true CN101094733B (zh) 2010-06-16

Family

ID=36462544

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580045503XA Active CN101094733B (zh) 2004-12-01 2005-11-21 静电卡盘的湿法清洗

Country Status (7)

Country Link
US (1) US7052553B1 (zh)
EP (1) EP1824615A4 (zh)
JP (1) JP2008522434A (zh)
KR (2) KR20130065735A (zh)
CN (1) CN101094733B (zh)
TW (1) TWI376275B (zh)
WO (1) WO2006060234A2 (zh)

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US7648582B2 (en) * 2005-12-23 2010-01-19 Lam Research Corporation Cleaning of electrostatic chucks using ultrasonic agitation and applied electric fields
JP4813321B2 (ja) * 2006-10-16 2011-11-09 太平洋セメント株式会社 静電チャックの洗浄方法
JP5260861B2 (ja) * 2006-11-29 2013-08-14 東京エレクトロン株式会社 キャパシタ電極の製造方法と製造システムおよび記録媒体
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US8221552B2 (en) * 2007-03-30 2012-07-17 Lam Research Corporation Cleaning of bonded silicon electrodes
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
CN101332462B (zh) * 2007-06-29 2011-06-01 中芯国际集成电路制造(上海)有限公司 一种静电吸盘的清洗方法
US7736441B2 (en) * 2007-10-09 2010-06-15 Lam Research Corporation Cleaning fixtures and methods of cleaning electrode assembly plenums
US8022718B2 (en) * 2008-02-29 2011-09-20 Lam Research Corporation Method for inspecting electrostatic chucks with Kelvin probe analysis
US7933123B2 (en) 2008-04-11 2011-04-26 Apple Inc. Portable electronic device with two-piece housing
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
KR101680787B1 (ko) 2009-05-15 2016-11-29 엔테그리스, 아이엔씨. 중합체 돌기들을 가지는 정전 척
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
CN102039281B (zh) * 2009-10-21 2012-05-09 中芯国际集成电路制造(上海)有限公司 用于对晶片焊盘表面进行清洗的方法
KR101820976B1 (ko) * 2009-12-18 2018-01-22 램 리써치 코포레이션 플라즈마 챔버에서 사용되는 상부 전극으로부터 표면 금속 오염을 세정하는방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101731136B1 (ko) 2010-05-28 2017-04-27 엔테그리스, 아이엔씨. 표면저항이 높은 정전 척
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9054148B2 (en) * 2011-08-26 2015-06-09 Lam Research Corporation Method for performing hot water seal on electrostatic chuck
CN103132090B (zh) * 2011-11-24 2014-12-10 江苏星火特钢有限公司 去除不锈钢表面氧化物的盐酸促进剂及其配置方式
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9387521B2 (en) * 2012-12-05 2016-07-12 Lam Research Corporation Method of wet cleaning aluminum chamber parts
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104148328A (zh) * 2013-08-27 2014-11-19 奥星衡迅生命科技(上海)有限公司 一种制药片剂模具的清洗方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) * 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
WO2016152142A1 (ja) * 2015-03-24 2016-09-29 パナソニックIpマネジメント株式会社 洗浄方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN108212912A (zh) * 2016-12-15 2018-06-29 宁波江丰电子材料股份有限公司 辉光放电质谱设备用陶瓷片的清洗方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN107952727A (zh) * 2017-11-30 2018-04-24 芜湖通潮精密机械股份有限公司 静电卡盘产品污染物清洗处理的方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20190341276A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Integrated semiconductor part cleaning system
US11776822B2 (en) * 2018-05-29 2023-10-03 Applied Materials, Inc. Wet cleaning of electrostatic chuck
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11626271B2 (en) 2020-06-18 2023-04-11 Tokyo Electron Limited Surface fluorination remediation for aluminium oxide electrostatic chucks
CN112871853B (zh) * 2021-01-12 2022-06-24 度亘激光技术(苏州)有限公司 多孔吸盘的清洗方法
TWI752835B (zh) * 2021-02-26 2022-01-11 台灣積體電路製造股份有限公司 物理氣相沉積構件以及清潔靜電吸盤的方法
CN114029300B (zh) * 2021-03-12 2023-03-31 重庆康佳光电技术研究院有限公司 石墨盘的清洗方法
CN112934832A (zh) * 2021-04-19 2021-06-11 北京北方华创微电子装备有限公司 陶瓷件清洗方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5516730A (en) * 1994-08-26 1996-05-14 Memc Electronic Materials, Inc. Pre-thermal treatment cleaning process of wafers
US5653045A (en) * 1995-06-07 1997-08-05 Ferrell; Gary W. Method and apparatus for drying parts and microelectronic components using sonic created mist
CN1529908A (zh) * 2001-06-28 2004-09-15 ��ķ�о����޹�˾ 陶瓷静电卡盘组件及其制备方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5211807A (en) * 1991-07-02 1993-05-18 Microelectronics Computer & Technology Titanium-tungsten etching solutions
JPH05166752A (ja) * 1991-12-19 1993-07-02 Sony Corp チタンシリサイド層の形成方法
JP3301116B2 (ja) * 1992-07-20 2002-07-15 ソニー株式会社 半導体装置及びその製造方法
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
JP3109558B2 (ja) * 1994-11-24 2000-11-20 住友金属工業株式会社 ウエハ保持具
JP3171821B2 (ja) * 1996-09-27 2001-06-04 東京エレクトロン株式会社 洗浄装置及び洗浄方法
JPH10189699A (ja) * 1996-12-27 1998-07-21 Kyocera Corp 静電チャックの洗浄方法
US5966635A (en) * 1997-01-31 1999-10-12 Motorola, Inc. Method for reducing particles on a substrate using chuck cleaning
US5922136A (en) * 1997-03-28 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Post-CMP cleaner apparatus and method
US6240933B1 (en) * 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
JPH11145105A (ja) * 1997-11-13 1999-05-28 Tokyo Electron Ltd 洗浄装置
US5932022A (en) * 1998-04-21 1999-08-03 Harris Corporation SC-2 based pre-thermal treatment wafer cleaning process
JP2000216227A (ja) * 1999-01-25 2000-08-04 Disco Abrasive Syst Ltd チャックテ―ブル検査方法
WO2002015255A1 (en) * 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
WO2002019390A2 (en) * 2000-08-31 2002-03-07 Chemtrace, Inc. Cleaning of semiconductor process equipment chamber parts using organic solvents
JP2002343759A (ja) * 2001-05-21 2002-11-29 Tokyo Electron Ltd 液処理装置および液処理方法
JP2002280365A (ja) * 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
US6817057B2 (en) * 2001-08-30 2004-11-16 Micron Technology, Inc. Spindle chuck cleaner
JP2003136027A (ja) * 2001-11-01 2003-05-13 Ngk Insulators Ltd 半導体製造装置中で使用するためのセラミック部材を洗浄する方法、洗浄剤および洗浄剤の組み合わせ
KR100440500B1 (ko) * 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
JP2003213463A (ja) * 2002-01-17 2003-07-30 Sumitomo Chem Co Ltd 金属腐食防止剤および洗浄液
US6821350B2 (en) * 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
US20030200996A1 (en) * 2002-04-30 2003-10-30 Hiatt William Mark Method and system for cleaning a wafer chuck
WO2003101762A1 (en) * 2002-05-28 2003-12-11 Advanced Technology Materials, Inc. Process for cleaning and repassivating semiconductor equipment parts
US6786222B2 (en) * 2002-10-25 2004-09-07 Motorola, Inc. Method for removing particles from a semiconductor processing tool
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
JP4099053B2 (ja) * 2002-12-20 2008-06-11 京セラ株式会社 静電チャックの製造方法
JP4485786B2 (ja) * 2003-01-10 2010-06-23 関東化学株式会社 半導体基板用洗浄液
US7045020B2 (en) * 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5516730A (en) * 1994-08-26 1996-05-14 Memc Electronic Materials, Inc. Pre-thermal treatment cleaning process of wafers
US5653045A (en) * 1995-06-07 1997-08-05 Ferrell; Gary W. Method and apparatus for drying parts and microelectronic components using sonic created mist
CN1529908A (zh) * 2001-06-28 2004-09-15 ��ķ�о����޹�˾ 陶瓷静电卡盘组件及其制备方法

Also Published As

Publication number Publication date
WO2006060234A2 (en) 2006-06-08
TW200638999A (en) 2006-11-16
CN101094733A (zh) 2007-12-26
EP1824615A4 (en) 2012-08-08
WO2006060234A3 (en) 2006-07-20
KR20130065735A (ko) 2013-06-19
KR20070092249A (ko) 2007-09-12
JP2008522434A (ja) 2008-06-26
EP1824615A2 (en) 2007-08-29
US7052553B1 (en) 2006-05-30
TWI376275B (en) 2012-11-11
US20060112969A1 (en) 2006-06-01

Similar Documents

Publication Publication Date Title
CN101094733B (zh) 静电卡盘的湿法清洗
CN104707832B (zh) 静电卡盘清洁固定器
CN100586585C (zh) 一种多晶硅刻蚀腔室中陶瓷材料零件表面的清洗方法
US7507670B2 (en) Silicon electrode assembly surface decontamination by acidic solution
CN1177355C (zh) 用于清洗晶片的清洗水和清洗晶片的方法
KR101433959B1 (ko) 초음파 교반 및 인가된 전계를 이용한 정전척의 세정
Heyns et al. Cost-effective cleaning and high-quality thin gate oxides
KR101820976B1 (ko) 플라즈마 챔버에서 사용되는 상부 전극으로부터 표면 금속 오염을 세정하는방법
Hymes et al. Brush scrubbing emerges as future wafer-cleaning technology
Singh et al. PVA brush technology for next generation post-CMP cleaning applications
Bearda et al. Overview of wafer contamination and defectivity
JP2004200620A (ja) 静電チャックおよびその製造方法
Huang et al. Electrochemical behavior of copper in tetramethyl ammonium hydroxide based solutions
KR20220031923A (ko) 클램프용 지그 및 세정 장치
JP2008252009A (ja) 300mmシリコンテストウエハおよび半導体製造装置
CN100444350C (zh) 防止钨插塞腐蚀的方法
Fyen et al. Cleaning, rinsing and drying issues in post-Cu CMP cleaning: A case study
CN101200048A (zh) 清除化学机械抛光刷上残留物的方法
Kuroda et al. Development of next generation post copper CMP cleaners
Eisenberg et al. Effect of hot water exposure on bare silicon surfaces in MOS processing
Kodera et al. A New Cleaning Technique for Corrosion Protection in Aluminum Metallization
Jeon et al. Characterization of HF-Last Cleaned Si For Gate Oxides
Broussous et al. Single backside cleaning on silicon, silicon nitride and silicon oxide
Fyen et al. Critical issues in post Cu CMP cleaning
Duan et al. A new mechanism of poly-silicon crater defect induced from Al tiny particle charging effect during water rinse in oxide patterning process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant