TWI752835B - 物理氣相沉積構件以及清潔靜電吸盤的方法 - Google Patents

物理氣相沉積構件以及清潔靜電吸盤的方法 Download PDF

Info

Publication number
TWI752835B
TWI752835B TW110106847A TW110106847A TWI752835B TW I752835 B TWI752835 B TW I752835B TW 110106847 A TW110106847 A TW 110106847A TW 110106847 A TW110106847 A TW 110106847A TW I752835 B TWI752835 B TW I752835B
Authority
TW
Taiwan
Prior art keywords
electrostatic chuck
cleaning
vapor deposition
semiconductor wafer
physical vapor
Prior art date
Application number
TW110106847A
Other languages
English (en)
Other versions
TW202233819A (zh
Inventor
吳宗晟
吳昇穎
林明賢
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Priority to TW110106847A priority Critical patent/TWI752835B/zh
Application granted granted Critical
Publication of TWI752835B publication Critical patent/TWI752835B/zh
Publication of TW202233819A publication Critical patent/TW202233819A/zh

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本揭露提供一種清潔靜電吸盤的方法。將半導體晶圓置於物理氣相沉積裝置的靜電吸盤上。半導體晶圓包含低k介電層位於下層結構上,以及抗反射層位於低k介電層上。沉積包含氮化鈦的硬遮罩於抗反射層上,且包含氮化鈦的汙染物附著於物理氣相沉積裝置的腔室內。自靜電吸盤上移開沉積有硬遮罩的半導體晶圓。汙染物掉落至靜電吸盤。施加清潔液於靜電吸盤以移除汙染物。清潔液包含硫酸濃度為約19wt%~21wt%的硫酸水溶液和過氧化氫濃度為約19wt%~21wt%的過氧化氫水溶液,且硫酸水溶液對過氧化氫水溶液的體積比為約0.9:1.1至約1.1:0.9。

Description

物理氣相沉積構件以及清潔靜電吸盤的方法
本揭露是有關於一種物理氣相沉積構件以及清潔靜電吸盤的方法。
半導體積體電路(integrated circuit,IC)工業已經歷了指數型成長(exponential growth)。在積體電路材料與設計中的技術進展,已產生了積體電路的世代,其中各個世代相較於先前之世代,具有更小且更複雜之電路。在積體電路演變之過程中,功能密度(functional density)(即,每晶片面積(chip area)之內連結裝置(interconnected devices)的數目)已普遍地增加,而幾何尺寸(geometry size)(即,藉由使用一製造製程,所能產生之最小構件或導線)已減小。由於增加了生產效率並降低了相關成本,此尺寸縮減製程(scaling down process)普遍地提供了益處。此種尺寸縮減(scaling down)也已增加了處理與製造積體電路的複雜度,且為了實現這些進展,相似的發展在積體電路處理與製造中是必需的。
本揭露之一態樣是提供一種清潔靜電吸盤的方法,此方法包含以下操作。將半導體晶圓置於物理氣相沉積裝置的靜電吸盤上。半導體晶圓包含下層結構、位於下層結構上的低介電常數介電層、以及位於低介電常數介電層上的抗反射層。沉積包含氮化鈦的硬遮罩於抗反射層上,且包含氮化鈦的汙染物附著於物理氣相沉積裝置的腔室內。自靜電吸盤上移開沉積有硬遮罩的半導體晶圓。汙染物掉落至靜電吸盤上。施加清潔液於靜電吸盤上以移除汙染物。清潔液包含硫酸濃度為約19wt%~21wt%的硫酸水溶液和過氧化氫濃度為約19wt%~21wt%的過氧化氫水溶液,且硫酸水溶液對過氧化氫水溶液的體積比為約0.9:1.1至約1.1:0.9。
本揭露之另一態樣是提供一種清潔靜電吸盤的方法,此方法包含以下操作。將半導體晶圓置於物理氣相沉積裝置的靜電吸盤上。半導體晶圓包含線路層、位於線路層上的襯層、位於襯層上的介電層,其中介電層具有一開口貫穿介電層及襯層、以及保型設置於介電層上及開口的側壁和底部的擴散阻障層。沉積包含鋁的導電墊於擴散阻障層上,且包含鋁的汙染物附著於物理氣相沉積裝置的腔室內。自靜電吸盤上移開沉積有包含鋁的導電墊的半導體晶圓。汙染物掉落至靜電吸盤上。施加清潔液於靜電吸盤上以移除汙染物。清潔液包含硝酸濃度為約19wt%~21wt%的硝酸水溶液、乙酸濃度為約19wt%~21wt%的乙酸水溶液和磷酸濃度為約19wt%~21wt%的磷酸水溶液,且硝酸水溶液對乙酸水溶液和對磷酸水溶液的體積比為約1.1:0.9:0.9至約0.9:1.1:1.1。
本揭露之又一態樣是提供一種物理氣相沉積構件。物理氣相沉積構件包含基板支撐件,其具有一C型輪廓的警示裝置位於基板支撐件的中央處。警示裝置配置用以發出一警訊,且當警示裝置發出警訊時,使用清潔液來清潔基板支撐件。
為了使本揭示內容的敘述更加詳盡與完備,下文針對了本揭露的實施態樣與具體實施例提出了說明性的描述;但這並非實施或運用本揭露具體實施例的唯一形式。以下所揭露的各實施例,在有益的情形下可相互組合或取代,也可在一實施例中附加其他的實施例,而無須進一步的記載或說明。
以下的揭露內容提供許多不同的實施例或範例以實施本揭露多個實施例的不同特徵。以下的內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。將關於特定具體實施例並參照某些圖式來描述本揭露多個實施例,但本揭露多個實施例不限於特定具體實施例以及圖式,而只受限於申請專利範圍。所描述的圖式僅為示例性,且非限制性。在圖式中,為了示例的目的,一些元件的大小可被放大,且不按比例繪示。尺寸以及相對尺寸不一定相應於用以實施的實際縮圖。
此外,在描述以及申請專利範圍中的用語頂部、底部、之上、之下以及諸如此類是用於描述的目的,且不一定用於描述相對的位置。要了解的是,如此使用的用語在適當的情況下是可交換的,且本文中所描述的具體實施例能夠以本文中所描述或示例以外的其他定位來操作。
要注意的是,申請專利範圍中所使用的用語「包含」不應被理解為受限於其後所列出的手段;它不排除其他元件或操作。因此它被理解為具體說明如同所提及的所陳述特徵、整體、操作或構件的存在,但不排除一或更多個其他特徵、整體、操作或構件或其群組的存在或加入。因此,「包含裝置A以及B的裝置」的描述範圍不應限於只由構件A以及B所構成的裝置。
在製造諸如半導體晶片的基板的過程中,基板會被安置於一反應腔室中並暴露於高能氣體下,以在基板上沉積材料。舉例來說,反應腔室可以包含物理氣相沉積(physical vapor deposition,PVD)。在PVD腔室中,具有多個套件在處理過程中暴露於高能電漿下,例如用以支撐基板的支撐件,諸如靜電吸盤(electrostatic chuck,ESC)等。在這類基板處理製程中,電漿在這些反應腔室的構件的表面上生成沉積物,而使這些套件必須週期性地清洗。然而,PVD的沉積物通常牢固地附著於靜電吸盤表面上,且難以通過傳統清洗方法來完全清除。
第1圖繪示根據本揭露某些實施方式之清潔靜電吸盤的方法10的流程圖。需理解的是,可在方法10之前、之中與之後,執行額外之操作,而對於方法10之額外實施例而言,操作的一些可被取代、排除或移動。清潔靜電吸盤的方法10僅為一示範之實施例,且不打算用來限制本揭露各個實施例,除了申請專利範圍中所明確記載之外。清潔靜電吸盤的方法10包含操作110、操作120、操作130、操作140、操作150及操作160。
第2圖繪示根據揭露某些實施方式之物理氣相沉積裝置20的剖面示意圖。請同時參閱第1圖及第2圖,清潔靜電吸盤的方法10開始於操作110。在操作110中,將半導體晶圓30置於物理氣相沉積裝置20的靜電吸盤210上。具體的說,半導體晶圓30包含下層結構310、位於下層結構310上的低介電常數(k)介電層320、以及位於低介電常數介電層320上的抗反射層330於。為了避免圖式過於複雜,於第2圖中將半導體晶圓30的多層結構簡化地標示為一個單層。
第3圖繪示根據揭露某些實施方式之半導體晶圓30於某一製程階段的剖面示意圖。在一些實施例中,下層結構310為一典型且具有各種沉積預備金屬層或形成在其各別分離之介電層的半導體基板。例如,下層結構310可以為具活性特徵的矽基板,其中所述活性特徵例如為一層或多層的多晶矽層、場效隔離氧化層、閘極氧化層、氮化矽層、以及金屬化層。
在一些實施例中,為了形成下層結構310,首先將極純的單晶矽晶片暴露於高溫蒸氣並在其上形成一層氮化矽。接著於氮化矽層表面藉由化學氣相沉積(chemical vapor deposition,CVD)法沉積反應氣體,例如鹽水和氨。值得注意的是,其他沉積步驟例如,常壓下CVD(Atmospheric Pressure CVD,APCVD)、低壓下CVD(Low Pressure CVD,LPCVD)、電漿增強CVD(Plasma Enhanced CVD,PECVD)、有機金屬CVD(Metal Organic CVD,MOCVD)、物理氣相沉積(PVD)、原子層沉積(Atomic Layer Deposition, ALD)、化學溶液沉積、濺射、以及其組合皆可以使用。將此結構塗佈上一光阻層,並通過微影技術圖案化以及乾式蝕刻流程蝕刻導孔以形成淺溝槽隔離(shallow trench isolation,STI)結構。沉積氧化矽或介電金屬至淺溝槽隔離以及移除氮化矽層之後,在表面上沉積金屬閘極,其中金屬閘極的材料可為鎢(W)、鈦(Ti)、鉈(Ta)、鋁(Al)、鎳(Ni)、釕(Ru)、鈀(Pd)、鉑(Pt)、氮化鎢(WN x)、氮化鈦(TiN)、氮化鉈(TaN)、氮化鋁(AlN)、矽化鎢(WSi x)、矽化鎳(Ni 2Si)、矽化鈦(TiSi 2)、鋁化鈦(TiAl)、其合金、以及其組合。在一些實施例中,多晶矽玻璃通常用作金屬閘極的材料。藉由CVD的反應氣體(例如鹽水和氮)沉積多晶矽閘極層於表面上。多晶矽於微影製程與蝕刻製程下將形成多晶矽閘極。最後,植入磷離子和硼離子至晶圓中,以形成源極/汲極區,其中源極/汲極區可包含汲極輕摻雜(Lightly Doped Drain,LDD)區。下層結構310包含多種結構以及在金屬層前的層別於第3圖中簡化地標示為一個單層。
在一些實施例中,低k介電層320可藉由沉積步驟,例如化學氣相沉積(CVD)法沉積於下層結構310。低k介電層320的功能如間隔層及介電濾波器一般,以減少其中兩個導電元件間的寄生電容。在多個實施例中,低k介電層320的材料可以是含碳的介電材料並且可以進一步包含氮,氫,氧以及其組合。低k介電層320之實例包含但不限於摻氮之碳化矽,氮化鋁,氧化鋁,富矽氮化物的材料。
在使用微影技術製程之後,半導體晶圓30結構中的許多層別會反射紫外光。從這些層反射出來的反射光會造成特徵尺寸上的形變,亦即在能量敏感的抗蝕材料中更容易形成通孔開口。因此,在半導體晶圓30結構上塗佈一層抗反射層330是必要的,用以幫助減少反射光反射到下層材料,圖案化前的駐波,薄膜干擾以及鏡面反射。在多個實施例中,抗反射層330為無氮抗反射層(nitrogen free anti-reflection layer,NFARL)。
第4圖繪示根據揭露某些實施方式之靜電吸盤210的上視示意圖。靜電吸盤210為物理氣相沉積裝置20中的其中一個套件。一般來說,靜電吸盤210可以是強生-雷貝克(Johnsen-Rahbel)型靜電吸盤或庫倫力 (Coulombic-Force)型靜電吸盤。庫倫力型靜電吸盤與半導體晶圓接觸的表面的介電層是使用具有較高阻抗的陶瓷材料,且此陶瓷層中有夾一層導電電極層,當電極被接通到高壓直流電源後,介電層的表面會產生極化電荷,分佈在半導體晶圓背面的電荷與分佈在吸盤上面的電荷極性相反,半導體晶圓即會被吸盤吸住。而強生-雷貝克型靜電吸盤與半導體晶圓接觸的表面的介電層則是使用半導體材料,其介電層表面不僅有極化電荷,還有很大部分的自由電荷,這是因為強生-雷貝克型靜電吸盤的介電層有一定的導電性。一般來說,強生-雷貝克型靜電吸盤的吸力比庫倫力型靜電吸盤的大。
在半導體製程中,使用靜電吸盤具有以下的優點:可於真空環境下產生安定的吸附力、靜電吸盤具有高平坦度、吸附力量均勻、無微粒產生、可控制溫度以及可用於多孔材料等。在多個實施例中,靜電吸盤210包含陶瓷,因其具有光滑特性,而不易刮傷吸附於其上的半導體晶圓。
如第4圖所示,靜電吸盤210上有均勻分布的多個孔洞212。在多個實施例中,這些孔洞212在靜電吸盤210的表面上呈現多個同心圓狀的配置。在多個實施例中,每一個孔洞212的直徑為約90微米至約600微米。舉例來說,每一個孔洞212的直徑可以為約100微米至約550微米、約150微米至約500微米、約200微米至約450微米、約250微米至約400微米、或約300微米至約350微米。這些孔洞212可以真空排氣的方式產生一吸附力,進而牢固地吸附上方的半導體晶圓。
第5圖繪示根據揭露某些實施方式之沉積硬遮罩340的剖面示意圖。請同時參照第1圖及第5圖。清潔靜電吸盤的方法10繼續至操作120。在操作120中,沉積包含氮化鈦的硬遮罩340於半導體晶圓30的抗反射層330上,且包含氮化鈦的汙染物410附著於物理氣相沉積裝置20的腔室220內。須說明的是,物理氣相沉積技術是透過物理方式將原子或分子沉積於基板表面上,藉此在金屬材料、合金材料、陶瓷材料或晶圓基板表面上形成薄膜。在物理氣相沉積技術中,可區分為蒸鍍(evaporation)或濺鍍(sputtering)兩種沉積方式。具體的說,濺鍍沉積法是利用輝光放電(glow discharge)效應,將惰性氣體電離並且轟擊至靶材(target)表面,使靶材的原子或分子彈出而堆積在基板表面,以形成具有良好均勻度的薄膜。在物理氣相沉積的過程中,即便PVD裝置有可能經過特殊塗層處理以避免靶材的原子或分子沾黏,但是,經過長時間的PVD處理,部分靶材的原子或分子難免仍會附著在腔室裡,進而累積並形成汙染物。
第6圖繪示根據揭露某些實施方式之移開沉積有硬遮罩340的半導體晶圓30後汙染物410掉落至靜電吸盤210上的剖面示意圖。第7圖繪示根據揭露某些實施方式之汙染物410掉落至靜電吸盤210的上視示意圖。請同時參照第1圖、第6圖及第7圖,清潔靜電吸盤的方法10繼續至操作130和操作140。在操作130中,自靜電吸盤210上移開沉積有硬遮罩340的半導體晶圓30。在操作140中,汙染物410掉落至靜電吸盤210上。應注意,在操作140中所述的汙染物410是包含氮化鈦(TiN)。當附著在腔室220裡的含氮化鈦汙染物410累積過多則會掉落並附著至靜電吸盤210上,甚至嵌埋於靜電吸盤210上的孔洞212中,且通常難以通過傳統的清潔方式(例如,機械性鑽孔、電漿解離蝕刻反應氣體等)來移除這些汙染物。在其他替代實施例中,這些汙染物410可能包含有機物、氧化物、金屬、半導體微粒及其組合。由於靜電吸盤210是屬於極高成本的PVD腔室構件,因此,有必要定期清潔靜電吸盤210,以延長靜電吸盤210的使用壽命。在一實施例中,靜電吸盤300的中央處具有一C型輪廓的警示裝置214,其配置用以發出一警訊以提醒操作人員檢視是否有汙染物固著於靜電吸盤210上。舉例來說,警示裝置214包含重量偵測器、電阻偵測器、氣流偵測器或上述偵測器的組合。有關警示裝置214的工作原理將在下文詳細描述。
請回到第1圖,清潔靜電吸盤的方法10繼續至操作150。在操作150中,施加清潔液於靜電吸盤上以移除汙染物。舉例來說,可以利用擦拭或類似的方式來移除汙染物。在一實施例中,操作150是在常溫及常壓下進行。具體的說,在此所指的常溫為一般環境溫度或者室溫,通常為約攝氏20度至30度(亦即,約293K至303K)。具體的說,在此所指的常壓為約一大氣壓(亦即,約101.3Kpa)。可以理解的是,相較於其他需透過在高溫下清潔的方式,在常溫常壓下進行清潔的這種方式在操作上更為方便且更節省能源。在此需注意的是,清潔液亦為常溫,且無須再經過加熱。
在一實施例中,當靜電吸盤210上有包含氮化鈦的汙染物410時,可以使用包含有硫酸濃度為約19wt%~21wt%的硫酸水溶液和過氧化氫濃度為約19wt%~21wt%的過氧化氫水溶液的清潔液來進行清潔操作。此清潔液能夠與氮化鈦發生反應並使其溶解。舉例來說,硫酸水溶液中的硫酸濃度可以為約19.5 wt%~20.5 wt%或約20 wt%~20.5 wt%,且過氧化氫水溶液中的過氧化氫濃度可以為約19.5 wt%~20.5 wt%或約20 wt%~20.5 wt%。當硫酸水溶液中的硫酸濃度和過氧化氫水溶液中的過氧化氫濃度超過約21 wt%或者低於約19wt%時,其用來清潔包含氮化鈦的汙染物的效果並不佳,可能還會有殘留的汙染物。須說明的是,硫酸水溶液對過氧化氫水溶液的體積比為約0.9:1.1至約1.1:0.9。舉例來說,硫酸水溶液對過氧化氫水溶液的體積比可以為約0.9:1.1至約1.0:1.1、約0.9:1.1至約1.1:1.1、約1.0:1.1至約1.1:1.1、約1.1:1.0至約1.1:0.9、或約1.1:1.1至約1.1:0.9等。
清潔靜電吸盤的方法10繼續至操作160。在操作160中,施加去離子(Deionized,DI)水於移除汙染物後的靜電吸盤以移除清潔液。為了避免清潔液停留在靜電吸盤上的時間過長而損害靜電吸盤,因此需要使用去離子水將清潔液從靜電吸盤上帶走。舉例來說,可以利用擦拭或類似的方式來移除清潔液。
第8A圖繪示根據揭露某些實施方式之製造半導體結構某一階段的剖面示意圖。在多個實施例中,如第8A圖所示,可以在沉積包含氮化鈦的硬遮罩340於抗反射層330上之後,繼續沉積一蝕刻終止層(etch stop layer,ESL)350於硬遮罩340上。蝕刻終止層350包含四乙氧基矽烷(tetra-ethyl-ortho-silicate,TEOS),且可藉由CVD製程或PVD製程而形成。更詳細的說,包含四乙氧基矽烷(TEOS)的蝕刻終止層350可以使用前驅物包含甲基二乙氧基矽烷(methyldiethoxysilane,mDEOS)進行化學氣相沉積製程沉積而成。蝕刻終止層350可以控制後續開口形成後的形狀。
第8B圖繪示根據揭露某些實施方式之製造半導體結構某一階段的剖面示意圖。在多個實施例中,如第8B圖所示,可以在沉積蝕刻終止層350於硬遮罩340上之後,形成通孔360貫穿蝕刻終止層350和硬遮罩340。詳細的說,首先,可以先在蝕刻終止層350上塗佈一光阻層(圖未示),接著通過微影技術完成圖案化製程。在圖案化製程中,所述光阻層可選擇性地暴露於紫外線輻射下且顯影後在光阻光罩中形成孔洞圖案(圖未示)。然後,可以藉由使用反應氣體例如氟化物,氧,氯,三氯化硼之乾式蝕刻形成通孔360穿透蝕刻終止層350及硬遮罩340。在其他實施例中,有時亦可添加氮氣,氬氣,氦氣以及其他氣體於反應氣體中。
第8C圖繪示根據揭露某些實施方式之製造半導體結構某一階段的剖面示意圖。在多個實施例中,可以在通孔360之後,繼續填入導體材料於通孔360中以形成線路結構370。在多個實施例中,導體材料例如可以為銅,亦可以為任何合適之導電材料,如銅合金、鋁、鎢、銀、其任意組合及/或其類似者。在多個實施例中,線路結構370可由合適之技術如電鍍、化學鍍、化學氣相沉積及其類似者形成於通孔360中。在某些實施例中,可以使用平坦化製程移除多餘的導電材料直至蝕刻終止層350之上表面外露。平坦化製程可藉由合適技術如研磨、拋光及/或化學蝕刻、蝕刻技術之組合來執行。舉例來說,平坦化過程可藉由化學機械研磨(Chemical Mechanical Planarization,CMP)來執行。在化學機械研磨過程中,蝕刻材料與研磨材料之組合會與半導體晶圓結構之上表面接觸,而一研磨墊(圖未示)會被用以磨去多餘的導電材料。
第9圖繪示根據揭露某些實施方式之清潔靜電吸盤的方法50的流程圖。需理解的是,可在方法50之前、之中與之後,執行額外之操作,而對於方法50之額外實施例而言,操作的一些可被取代、排除或移動。清潔靜電吸盤的方法50僅為一示範之實施例,且不打算用來限制本揭露各個實施例,除了申請專利範圍中所明確記載之外。清潔靜電吸盤的方法50包含操作510、操作520、操作530、操作540、操作550及操作560。
第10圖繪示根據揭露某些實施方式之物理氣相沉積裝置20的剖面示意圖。第11A圖至第11D圖繪示根據揭露某些實施方式之製造半導體晶圓60之各個階段的剖面示意圖。請同時參閱第9圖、第10圖、第11A圖至第11D圖,清潔靜電吸盤的方法50開始於操作510。在操作510中,將半導體晶圓60置於物理氣相沉積裝置20的靜電吸盤210上。具體的說,半導體晶圓60包含線路層610、位於線路層610上的襯層620、位於襯層620上的介電層630,其中介電層630具有一開口640貫穿介電層630及襯層620、以及保形地設置在介電層630上及開口640的側壁和底部的擴散阻障層650。為了避免圖式過於複雜,於第10圖中將半導體晶圓60的多層結構簡化地標示為一個單層。
如第11A圖所示,襯層620形成於線路層610上。在多個實施例中,襯層620可為介電材料如氮化矽、碳化矽、氧化矽、硼化矽、氟化矽、其組合及其多層組合。在一些實施例中,襯層620可由適宜之沉積過程形成,如化學氣相沉積、氣溶膠輔助氣相沉積、直接液體注入化學氣相沉積、微波電漿輔助化學氣相沉積、等離子體增強化學氣相澱積、遠距電漿增強化學氣相沉積、原子層化學氣相沉積、燃燒化學氣相沉積、熱燈絲化學氣相沉積、混合物理化學氣相沉積、有機金屬化學氣相沉積、快速熱化學氣相沉積、氣相磊晶、光引發化學氣相沉積及/或其類似方式。在多個實施例中,線路層610是由導電層612和絕緣層611所組成。
如第11B圖所示,介電層630形成於襯層620上。在多個實施例中,介電層630可包含無摻雜矽玻璃(undoped silicate glass,USG)。在一些實施例中,介電層630可藉由沉積步驟,例如化學氣相沉積製程沉積於襯層620上。
如第11C圖所示,形成一開口640貫穿介電層630和襯層620並暴露出線路層610的一部分。更詳細的說,開口640暴露出線路層610中的導電層612。在多個實施例中,首先,可以先在介電層630上塗佈一光阻層(圖未示),接著通過微影技術完成圖案化製程。在圖案化製程中,所述光阻層可選擇性地暴露於紫外線輻射下且顯影後在光阻光罩中形成孔洞圖案(圖未示)。然後,可以藉由使用反應氣體例如氟化物,氧,氯,三氯化硼之乾式蝕刻形成開口640貫穿介電層630和襯層620。在其他實施例中,有時亦可添加氮氣,氬氣,氦氣以及其他氣體於反應氣體中。
如第11D圖所示,擴散阻障層650保型覆蓋介電層630及開口640的側壁和底部。在多個實施例中,擴散阻障層650可包含氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、氮化鈦(TiN)或上述的組合。在多個實施例中,擴散阻障層650可使用原子層沈積(atomic layer deposition,ALD)製程或CVD製程來沈積。
第12圖繪示根據揭露某些實施方式之沉積含鋁導電墊660的示意圖。第13圖繪示根據揭露某些實施方式之沉積含鋁導電墊660後半導體晶圓結構的剖面示意圖。請同時參閱第9圖、第12圖及第13圖,清潔靜電吸盤的方法50繼續至操作520。在操作520中,沉積包含鋁的導電墊660於半導體晶圓60的擴散阻障層650上,且包含鋁的汙染物710附著於物理氣相沉積裝置20的腔室220內。為了避免圖式過於複雜,於第12圖中將半導體晶圓60的多層結構簡化地標示為一個單層。在多個實施例中,包含鋁的導電墊660可以由鋁或鋁銅合金所構成。在物理氣相沉積的過程中,即便PVD裝置有可能經過特殊塗層處理以避免靶材的原子或分子沾黏,但是,經過長時間的PVD處理,部分靶材的原子或分子難免仍會附著在腔室裡,進而累積並形成汙染物。
第14圖繪示根據揭露某些實施方式之移開沉積有含鋁導電墊660之半導體晶圓60後汙染物710掉落至靜電吸盤210上的剖面示意圖。第15圖繪示根據揭露某些實施方式之汙染物710掉落至靜電吸盤210的上視示意圖。請同時參照第9圖、第14圖及第15圖,清潔靜電吸盤的方法50繼續至操作530和操作540。在操作530中,自靜電吸盤210上移開沉積有包含鋁的導電墊660的半導體晶圓60。在操作540中,汙染物710掉落至靜電吸盤210上。應注意,在此操作540中的所述的汙染物710是包含鋁(Al)。當附著在腔室220裡的含鋁汙染物710累積過多則會掉落並附著至靜電吸盤210上,甚至嵌埋於靜電吸盤210上的這些孔洞212中,且通常難以通過傳統的清潔方式(例如,機械性鑽孔、電漿解離蝕刻反應氣體等)來移除這些汙染物。在其他替代實施例中,這些汙染物710可能包含有機物、氧化物、金屬、半導體微粒及其組合。
請回到第9圖,清潔靜電吸盤的方法50繼續至操作550。在操作550中,施加清潔液於靜電吸盤上以移除汙染物。舉例來說,可以利用擦拭或類似的方式來移除汙染物。在一實施例中,操作550是在常溫及常壓下進行。具體的說,在此所指的常溫為一般環境溫度或者室溫,通常為約攝氏20度至約攝氏30度(亦即,約293K至約303K)。具體的說,在此所指的常壓為約一大氣壓(亦即,約101.3Kpa)。可以理解的是,相較於其他需透過在高溫下清潔的方式,在常溫常壓下進行清潔的這種方式在操作上更為方便且更節省能源。在此需注意的是,清潔液亦為常溫,且無須再經過加熱。
在一實施例中,當靜電吸盤210上有包含鋁的汙染物710時,可以使用包含有硝酸濃度為約19wt%~21wt%的硝酸水溶液、乙酸濃度為約19wt%~21wt%的乙酸水溶液和磷酸濃度為約19wt%~21wt%的磷酸水溶液的清潔液來進行清潔操作。此清潔液能夠與鋁發生反應並使其溶解。舉例來說,硝酸水溶液中的硝酸濃度可以為約19.5 wt%~20.5 wt%、或約20 wt%~20.5 wt%;乙酸水溶液中的乙酸濃度可以為約19.5 wt%~20.5 wt%、或約20 wt%~20.5 wt%;以及磷酸水溶液中的磷酸濃度可以為約19.5 wt%~20.5 wt%、或約20 wt%~20.5 wt%。當硝酸水溶液中的硝酸濃度、乙酸水溶液中的乙酸濃度和磷酸水溶液中的磷酸濃度超過約21 wt%或者低於約19wt%時,其用來清潔包含鋁的汙染物的效果並不佳,可能還會有殘留的汙染物。須說明的是,硝酸水溶液對乙酸水溶液和對磷酸水溶液的體積比為約1.1:0.9:0.9至約0.9:1.1:1.1。舉例來說,硝酸水溶液對乙酸水溶液和對磷酸水溶液的體積比可以為約1.0:0.9:0.9至約1.1:0.9:0.9、約0.9:1.1:1.1至約1.0:1.1:1.1、約0.9:1.1:1.1至約1.0:1.0:0.9、約1.1:0.9:0.9至約1.0:0.9:1.0、約0.9:1.1:1.1至約1.0:1.0:1.0、約0.9:1.0:0.9至約0.9:1.1:1.1、或約0.9:1.0:1.0至約1.1:0.9:0.9等。
清潔靜電吸盤的方法50繼續至操作560。在操作560中,施加去離子水於移除汙染物後的靜電吸盤以移除清潔液。為了避免清潔液停留在靜電吸盤上的時間過長而損害靜電吸盤,因此需要使用去離子水將清潔液從靜電吸盤上帶走。舉例來說,可以利用擦拭或類似的方式來移除清潔液。
請回到第2圖或第10圖,物理氣相沉積裝置20更包含多個物理氣相沉積套件(kits),其中包含基板支撐件。基板支撐件在製程加工期間用以固定和/或傳輸半導體晶圓 (或基板)和/或對半導體晶圓(或基板)進行溫度控制。在多個實施例中,基板支撐件可以為加熱器(heater)、靜電吸盤210、陶瓷基座或其組合。第2圖及第10圖僅繪示了基板支撐件為靜電吸盤210的實例,但不以此為限。
在多個實施例中,半導體晶圓可以為一矽晶圓,或者包含其他半導體材料。在一實施例中,半導體晶圓可包含有如第3圖或第11D圖所示的半導體晶圓結構30/60。在另一些實例中,半導體晶圓包含其他示範的半導體,例如,鍺;一化學半導體,包含砷化鎵(GaAs)、砷化銦(InAs)、銻化銦(InSb)、磷化鎵(GaP)和/或磷化銦(InP);或一合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP。在又一替代實例中,半導體晶圓包含絕緣層上半導體(semiconductor on insulator,SOI)結構。在其他實例中,半導體晶圓也包含一或多個導電和/或介電層。舉例來說,介電層可包含氧化矽、高介電常數介電材料(high-k dielectric material)層或其組合,而用於閘極電極層的導電層則可以包含摻雜的多晶矽(doped polysilicon)或金屬,例如,鋁(Al)、鎢(W)、鎳(Ni)、金(Au)、鈦(Ti)、鉑(Pt)、銅(Cu)或上述金屬的合金。
請回到第4圖,在基板支撐件為靜電吸盤的實施例中,靜電吸盤210具有多個孔洞212,且這些孔洞212均勻分布在靜電吸盤210的表面。在多個實施例中,這些孔洞212在靜電吸盤210的表面上呈現多個同心圓狀的配置。在多個實施例中,每一個孔洞212的直徑為約90微米至約600微米。舉例來說,每一個孔洞212的直徑可以為約100微米至約550微米、約150微米至約500微米、約200微米至約450微米、約250微米至約400微米、或約300微米至約350微米。在某些實施例中,前述的汙染物(例如,含鋁汙染物或含氮化鈦汙染物)可能因靜電吸盤210的吸力和/或高溫而嵌埋於這些孔洞212中。當汙染物附著在靜電吸盤210的表面和/或嵌於孔洞212中時,靜電吸盤210在PVD製程期間內無法提供足夠的吸附力,而可能導致半導體晶圓的浮動。在這種情況下,靜電吸盤210中央處的警示裝置214將無法偵測到半導體晶圓,進而發出一警訊,以提醒操作人員檢視。
舉例來說,在警示裝置214包含電阻偵測器的實施例中,若半導體晶圓(或基板)因靜電吸盤210上的汙染物而無法完全貼附在靜電吸盤210上時,會使得電流流過電阻偵測器所偵測出來的電阻值與預設範圍不符。電阻偵測器會將接收到的電阻變化轉換成電壓輸出,進而啟動警示裝置214發出一警訊。
在一實施例中,警示裝置214可進一步連接設置在物理氣相沉積裝置20外的可視警報器(圖未示)。舉例來說,可視警報器可為警示燈。當警示裝置214偵測到半導體晶圓的物理量(例如,重量、電阻值、氣流量等)有異常時,警示燈則會亮起警訊燈光,以達明顯的警示效果。在另一實施例中,警示裝置214可進一步連接設置在物理氣相沉積裝置20外的可聽警報器(圖未示)。舉例來說,可聽警報器可為聲響器。當警示裝置214偵測到半導體晶圓的物理量(例如,重量、電阻值、氣流量等)有異常時,聲響器則會響起警訊聲響以警告操作人員,進而達明顯的警示效果。在其他實施例中,可以使用其他具有明顯警示效果的警示方式。
一般來說,在批量生產完之後,即便警示裝置214沒有發出警訊仍可以使用前述的清潔液進行靜電吸盤210的清潔,以維持靜電吸盤210的工作效能。當在PVD製程加工期間,若因汙染物而導致警示裝置214發出警訊時,操作人員需先切斷物理氣相沉積裝置20的電源,再進行清潔操作。
請回到第2圖或第10圖,在多個實施例中,物理氣相沉積裝置20還包含靶材230位於半導體晶圓(或基材)的正上方,作為薄膜沉積加工的來源。舉例來說,靶材230包含待沉積到半導體晶圓(或基材)上的材料,諸如鋁和氮化鈦的至少一者。在多個實施例中,物理氣相沉積裝置20還包含功率源P,其與靶材230電性連接。舉例來說,功率源P包含直流電(Direct current,DC)、射頻(Radio frequency,RF)和/或導磁鐵(Magnet)。功率源P可對靶材230施加偏壓,藉由所施加的電壓在腔室內產生的電場激發濺鍍氣體以形成電漿,此電漿積極地撞擊並轟擊靶材230以從靶材230濺鍍出材料並沉積到半導體晶圓(或基材)上。
此外,物理氣相沉積裝置20更包含氣體通道240。氣體通道240具有諸如質量流量控制器等氣流控制閥(未示出)以使製程氣體以一設定流速通過。製程氣體可包含能夠碰撞靶材230並從靶材230濺鍍出材料的非活性氣體,諸如氬氣或氙氣。第2圖及第10圖僅繪示出一個氣體通道240,但不以此為限,可視需求增加氣體通道240的數量,例如為2個、3個或4個。
本揭露一或多個實施例可提供多種優點至清潔靜電吸盤的方法及物理氣相沉積構件,不過這些優點並非用以侷限本揭露的多個實施例。舉例來說,依據本揭露實施例提供了在物理氣相沉積裝置中用於清潔其內部構件的方法,更具體的說,是清潔靜電吸盤的方法。特別的是,本揭露多個實施例公開了,針對靜電吸盤上附著的不同汙染物,使用不同的清潔液來移除,且此清潔過程在常溫常壓下進行,操作極為方便,且能夠完全清除靜電吸盤上的汙染物。
本揭露之一態樣是提供一種清潔靜電吸盤的方法,此方法包含以下操作。(i)將半導體晶圓置於物理氣相沉積裝置的靜電吸盤上,其中半導體晶圓包含位於下層結構上的低k介電層,以及位於低介電常數介電層上的抗反射層。(ii) 沉積包含氮化鈦的硬遮罩於抗反射層上,且包含氮化鈦的汙染物附著於物理氣相沉積裝置的腔室內。(iii)自靜電吸盤上移開沉積有硬遮罩的半導體晶圓。(iv) 汙染物掉落至靜電吸盤上。(v)施加清潔液於靜電吸盤上以移除汙染物。清潔液包含硫酸濃度為約19wt%~21wt%的硫酸水溶液和過氧化氫濃度為約19wt%~21wt%的過氧化氫水溶液,且硫酸水溶液對過氧化氫水溶液的體積比為約0.9:1.1至約1.1:0.9。
根據本揭露多個實施例,從靜電吸盤上移除汙染物之後,還包含以下操作。(vi)施加去離子水於移除汙染物後的靜電吸盤以移除清潔液。
根據本揭露多個實施例,操作(v) 是在攝氏約20度至約30度以及約一大氣壓的環境下進行。
本揭露之另一態樣是提供一種清潔靜電吸盤的方法,此方法包含以下操作。(i) 將半導體晶圓置於物理氣相沉積裝置的靜電吸盤上,其中半導體晶圓包含一線路層、位於線路層上的襯層、位於襯層上的介電層,其中介電層具有一開口貫穿介電層及襯層、以及保形地設置在介電層上及開口的側壁和底部的擴散阻障層。 (ii) 沉積包含鋁的導電墊於擴散阻障層上,且包含鋁的汙染物附著於物理氣相沉積裝置的腔室內。(iii)自靜電吸盤上移開沉積有包含鋁的導電墊的半導體晶圓。(iv) 汙染物掉落至靜電吸盤上。(v)施加清潔液於靜電吸盤上以移除汙染物。清潔液包含硝酸濃度為約19wt%~21wt%的硝酸水溶液、乙酸濃度為約19wt%~21wt%的乙酸水溶液和磷酸濃度為約19wt%~21wt%的磷酸水溶液,且硝酸水溶液對乙酸水溶液和對磷酸水溶液的體積比為約1.1:0.9:0.9至約0.9:1.1:1.1。
根據本揭露多個實施例,從靜電吸盤上移除汙染物之後,還包含以下操作。(vi)施加去離子水於移除汙染物後的靜電吸盤以移除清潔液。
根據本揭露多個實施例,操作(v) 是在攝氏約20度至約30度以及約一大氣壓的環境下進行。
本揭露之又一態樣是提供一種物理氣相沉積構件。物理氣相沉積構件包含基板支撐件,其具有一C型輪廓的警示裝置位於基板支撐件的中央處。警示裝置配置用以發出一警訊,且當警示裝置發出警訊時,使用清潔液來清潔基板支撐件。
根據本揭露多個實施例,基板支撐件為加熱器、靜電吸盤、陶瓷基座或其組合。
根據本揭露多個實施例,基板支撐件具有多個孔洞,這些孔洞均勻分布在基板支撐件的表面。
根據本揭露多個實施例,警示裝置包含重量偵測器、電阻偵測器、氣流偵測器或其組合。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露多個實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露多個實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露多個實施例的發明精神與範圍。在不背離本揭露多個實施例的發明精神與範圍之前提下,可對本揭露多個實施例進行各種改變、置換或修改。
10:方法 110:操作 120:操作 130:操作 140:操作 150:操作 160:操作 20:物理氣相沉積裝置 210:靜電吸盤 212:孔洞 214:警示裝置 220:腔室 230:靶材 240:氣體通道 30:半導體晶圓 310:下層結構 320:低介電常數介電層 330:抗反射層 340:硬遮罩 350:蝕刻終止層 360:通孔 370:線路結構 410:汙染物 50:方法 510:操作 520:操作 530:操作 540:操作 550:操作 560:操作 60:半導體晶圓 610:線路層 611:絕緣層 612:導電層 620:襯層 630:介電層 640:開口 650:擴散阻障層 660:導電墊 710:汙染物 P:功率源
當結合隨附圖式進行閱讀時,本揭露發明實施例之詳細描述將能被充分地理解。應注意,根據業界標準實務,各特徵並非按比例繪製且僅用於圖示目的。事實上,出於論述清晰之目的,可任意增加或減小各特徵之尺寸。在說明書及圖式中以相同的標號表示相似的特徵。 第1圖繪示根據揭露某些實施方式之清潔靜電吸盤的方法的流程圖。 第2圖繪示根據揭露某些實施方式之物理氣相沉積裝置的剖面示意圖。 第3圖繪示根據揭露某些實施方式之半導體晶圓於某一製程階段的剖面示意圖。 第4圖繪示根據揭露某些實施方式之靜電吸盤的上視示意圖。 第5圖繪示根據揭露某些實施方式之沉積硬遮罩的剖面示意圖。 第6圖繪示根據揭露某些實施方式之移開沉積有硬遮罩半導體晶圓後汙染物掉落至靜電吸盤上的剖面示意圖。 第7圖繪示根據揭露某些實施方式之汙染物掉落至靜電吸盤的上視示意圖。 第8A圖、第8B圖及第8C圖繪示根據揭露某些實施方式之製造半導體結構各階段的剖面示意圖。 第9圖繪示根據揭露某些實施方式之清潔靜電吸盤的方法的流程圖。 第10圖繪示根據揭露某些實施方式之物理氣相沉積裝置的剖面示意圖。 第11A圖、第11B圖、第11C圖及第11D圖繪示根據揭露某些實施方式之製造半導體晶圓各階段的剖面示意圖。 第12圖繪示根據揭露某些實施方式之沉積有含鋁導電墊的示意圖。 第13圖繪示根據揭露某些實施方式之沉積有含鋁導電墊後半導體晶圓結構的剖面示意圖。 第14圖繪示根據揭露某些實施方式之移開沉積有含鋁導電墊之半導體晶圓後汙染物掉落至靜電吸盤上的剖面示意圖。 第15圖繪示根據揭露某些實施方式之汙染物掉落至靜電吸盤的上視示意圖。
10:方法
110:操作
120:操作
130:操作
140:操作
150:操作
160:操作

Claims (10)

  1. 一種清潔靜電吸盤的方法,包含以下操作: (i)將一半導體晶圓置於一物理氣相沉積裝置的一靜電吸盤上,其中該半導體晶圓包含: 一下層結構; 一低介電常數介電層位於該下層結構上;以及 一抗反射層位於該低介電常數介電層上; (ii)沉積包含氮化鈦的一硬遮罩於該抗反射層上,且包含氮化鈦的一汙染物附著於該物理氣相沉積裝置的一腔室內; (iii)自該靜電吸盤上移開沉積有該硬遮罩的該半導體晶圓; (iv)該汙染物掉落至該靜電吸盤上;以及 (v)施加一清潔液於該靜電吸盤上以移除該汙染物,其中該清潔液包含一硫酸濃度為約19wt%~21wt%的硫酸水溶液和一過氧化氫濃度為約19wt%~21wt%的過氧化氫水溶液,且該硫酸水溶液對該過氧化氫水溶液的體積比為約0.9:1.1至約1.1:0.9。
  2. 如請求項1所述之清潔靜電吸盤的方法,更包含:在操作(v)之後, (vi)施加一去離子水於移除該汙染物後的該靜電吸盤以移除該清潔液。
  3. 如請求項1所述之清潔靜電吸盤的方法,其中該操作(v)是在攝氏約20度至約30度以及約一大氣壓的環境下進行。
  4. 一種清潔靜電吸盤的方法,包含以下操作: (i) 將一半導體晶圓置於一物理氣相沉積裝置的一靜電吸盤上,該半導體晶圓包含: 一線路層; 一襯層位於該線路層上; 一介電層位於該襯層上,其中該介電層具有一開口貫穿該介電層及該襯層;以及 一擴散阻障層保型設置於該介電層上及該開口的側壁和底部; (ii)沉積包含鋁的一導電墊於該擴散阻障層上,且包含鋁的一汙染物附著於該物理氣相沉積裝置的一腔室內; (iii)自該靜電吸盤上移開沉積有包含鋁的該導電墊的該半導體晶圓; (iv)該汙染物掉落至該靜電吸盤上; (v)施加一清潔液於該靜電吸盤上以移除該汙染物,該清潔液包含一硝酸濃度為約19wt%~21wt%的硝酸水溶液、一乙酸濃度為約19wt%~21wt%的乙酸水溶液和一磷酸濃度為約19wt%~21wt%的磷酸水溶液,且該硝酸水溶液對該乙酸水溶液和對該磷酸水溶液的體積比為約1.1:0.9:0.9至約0.9:1.1:1.1。
  5. 如請求項4所述之清潔靜電吸盤的方法,更包含:在操作(v)之後, (vi)施加一去離子水於移除該汙染物後的該靜電吸盤以移除該清潔液。
  6. 如請求項4所述之清潔靜電吸盤的方法,其中該操作(v) 是在攝氏約20度至約30度以及約一大氣壓的環境下進行。
  7. 一種物理氣相沉積構件,包含: 一基板支撐件,具有一C型輪廓的一警示裝置位於該基板支撐件的一中央處,該警示裝置配置用以發出一警訊,其中當該警示裝置發出該警訊時,使用一清潔液來清潔該基板支撐件。
  8. 如請求項7所述之物理氣相沉積構件,其中該基板支撐件為一加熱器、一靜電吸盤、一陶瓷基座或其組合。
  9. 如請求項7所述之物理氣相沉積構件,其中該基板支撐件具有多個孔洞均勻分布在該基板支撐件的一表面。
  10. 如請求項7所述之物理氣相沉積構件,其中該警示裝置包含一重量偵測器、一電阻偵測器、一氣流偵測器或其組合。
TW110106847A 2021-02-26 2021-02-26 物理氣相沉積構件以及清潔靜電吸盤的方法 TWI752835B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW110106847A TWI752835B (zh) 2021-02-26 2021-02-26 物理氣相沉積構件以及清潔靜電吸盤的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW110106847A TWI752835B (zh) 2021-02-26 2021-02-26 物理氣相沉積構件以及清潔靜電吸盤的方法

Publications (2)

Publication Number Publication Date
TWI752835B true TWI752835B (zh) 2022-01-11
TW202233819A TW202233819A (zh) 2022-09-01

Family

ID=80809265

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110106847A TWI752835B (zh) 2021-02-26 2021-02-26 物理氣相沉積構件以及清潔靜電吸盤的方法

Country Status (1)

Country Link
TW (1) TWI752835B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101094733A (zh) * 2004-12-01 2007-12-26 兰姆研究公司 静电卡盘的湿法清洗
JP2008093615A (ja) * 2006-10-16 2008-04-24 Taiheiyo Cement Corp 静電チャックの洗浄方法
TW201534407A (zh) * 2013-12-12 2015-09-16 Lam Res Corp 靜電夾頭清洗夾具
US20200058536A1 (en) * 2018-08-14 2020-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Tool and method for cleaning electrostatic chuck

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101094733A (zh) * 2004-12-01 2007-12-26 兰姆研究公司 静电卡盘的湿法清洗
JP2008093615A (ja) * 2006-10-16 2008-04-24 Taiheiyo Cement Corp 静電チャックの洗浄方法
TW201534407A (zh) * 2013-12-12 2015-09-16 Lam Res Corp 靜電夾頭清洗夾具
US20200058536A1 (en) * 2018-08-14 2020-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Tool and method for cleaning electrostatic chuck

Also Published As

Publication number Publication date
TW202233819A (zh) 2022-09-01

Similar Documents

Publication Publication Date Title
US10049891B1 (en) Selective in situ cobalt residue removal
US8980758B1 (en) Methods for etching an etching stop layer utilizing a cyclical etching process
US11101174B2 (en) Gap fill deposition process
TWI774688B (zh) 蝕刻處理中保護超低介電材料不受損害以得到期望的特徵部之製造方法
TWI630654B (zh) 使用遠端電漿源以凹陷超低k介電質
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US8642473B2 (en) Methods for contact clean
US20140011339A1 (en) Method for removing native oxide and residue from a germanium or iii-v group containing surface
US8668835B1 (en) Method of etching self-aligned vias and trenches in a multi-layer film stack
KR100656214B1 (ko) 플라즈마 처리 방법
KR20140076514A (ko) Cu 배선의 형성 방법 및 기억 매체
CN101286473B (zh) 半导体器件的制造方法
CN114959624A (zh) 物理气相沉积构件以及清洁静电吸盘的方法
US8912096B2 (en) Methods for precleaning a substrate prior to metal silicide fabrication process
US20190237331A1 (en) Metal hard mask layers for processing of microelectronic workpieces
CN100483674C (zh) 镶嵌结构的制造方法
TWI752835B (zh) 物理氣相沉積構件以及清潔靜電吸盤的方法
US20200144063A1 (en) Method for forming semiconductor device structure with etch stop layer
KR20170089774A (ko) Cu 배선의 제조 방법 및 Cu 배선 제조 시스템
US7517802B2 (en) Method for reducing foreign material concentrations in etch chambers
TWI505360B (zh) 用於氟碳化物膜之金屬碳化物阻障層的形成方法
EP4375394A1 (en) Method of operating a pvd apparatus
US20230062974A1 (en) Cleaning chamber for metal oxide removal
WO2020132175A1 (en) Methods of cleaning an oxide layer in a film stack to eliminate arcing during downstream processing
JP2002222797A (ja) 窒化膜のエッチング方法およびデュアルダマシンプロセス