CN114959624A - 物理气相沉积构件以及清洁静电吸盘的方法 - Google Patents

物理气相沉积构件以及清洁静电吸盘的方法 Download PDF

Info

Publication number
CN114959624A
CN114959624A CN202110215946.XA CN202110215946A CN114959624A CN 114959624 A CN114959624 A CN 114959624A CN 202110215946 A CN202110215946 A CN 202110215946A CN 114959624 A CN114959624 A CN 114959624A
Authority
CN
China
Prior art keywords
electrostatic chuck
cleaning
layer
semiconductor wafer
contaminants
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110215946.XA
Other languages
English (en)
Inventor
吴宗晟
吴昇颖
林明贤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to CN202110215946.XA priority Critical patent/CN114959624A/zh
Publication of CN114959624A publication Critical patent/CN114959624A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本揭露提供一种物理气相沉积构件以及清洁静电吸盘的方法,清洁静电吸盘的方法包含将半导体晶圆置于物理气相沉积装置的静电吸盘上。半导体晶圆包含低k介电层位于下层结构上,以及抗反射层位于低k介电层上。沉积包含氮化钛的硬遮罩于抗反射层上,且包含氮化钛的污染物附着于物理气相沉积装置的腔室内。自静电吸盘上移开沉积有硬遮罩的半导体晶圆。污染物掉落至静电吸盘。施加清洁液于静电吸盘以移除污染物。清洁液包含硫酸浓度为约19wt%~21wt%的硫酸水溶液和过氧化氢浓度为约19wt%~21wt%的过氧化氢水溶液,且硫酸水溶液对过氧化氢水溶液的体积比为约0.9:1.1至约1.1:0.9。

Description

物理气相沉积构件以及清洁静电吸盘的方法
技术领域
本揭露是有关于一种物理气相沉积构件以及清洁静电吸盘的方法。
背景技术
半导体集成电路(integrated circuit,IC)工业已经历了指数型成长(exponential growth)。在集成电路材料与设计中的技术进展,已产生了集成电路的世代,其中各个世代相较于先前的世代,具有更小且更复杂的电路。在集成电路演变的过程中,功能密度(functional density)(即,每晶片面积(chip area)的内连结装置(interconnected devices)的数目)已普遍地增加,而几何尺寸(geometry size)(即,通过使用一制造制程,所能产生的最小构件或导线)已减小。由于增加了生产效率并降低了相关成本,此尺寸缩减制程(scaling down process)普遍地提供了益处。此种尺寸缩减(scaling down)也已增加了处理与制造集成电路的复杂度,且为了实现这些进展,相似的发展在集成电路处理与制造中是必需的。
发明内容
本揭露的一态样是提供一种清洁静电吸盘的方法,此方法包含以下操作。将半导体晶圆置于物理气相沉积装置的静电吸盘上。半导体晶圆包含下层结构、位于下层结构上的低介电常数介电层、以及位于低介电常数介电层上的抗反射层。沉积包含氮化钛的硬遮罩于抗反射层上,且包含氮化钛的污染物附着于物理气相沉积装置的腔室内。自静电吸盘上移开沉积有硬遮罩的半导体晶圆。污染物掉落至静电吸盘上。施加清洁液于静电吸盘上以移除污染物。清洁液包含硫酸浓度为约19wt%~21wt%的硫酸水溶液和过氧化氢浓度为约19wt%~21wt%的过氧化氢水溶液,且硫酸水溶液对过氧化氢水溶液的体积比为约0.9:1.1至约1.1:0.9。
本揭露的另一态样是提供一种清洁静电吸盘的方法,此方法包含以下操作。将半导体晶圆置于物理气相沉积装置的静电吸盘上。半导体晶圆包含线路层、位于线路层上的衬层、位于衬层上的介电层,其中介电层具有一开口贯穿介电层及衬层、以及保型设置于介电层上及开口的侧壁和底部的扩散阻障层。沉积包含铝的导电垫于扩散阻障层上,且包含铝的污染物附着于物理气相沉积装置的腔室内。自静电吸盘上移开沉积有包含铝的导电垫的半导体晶圆。污染物掉落至静电吸盘上。施加清洁液于静电吸盘上以移除污染物。清洁液包含硝酸浓度为约19wt%~21wt%的硝酸水溶液、乙酸浓度为约19wt%~21wt%的乙酸水溶液和磷酸浓度为约19wt%~21wt%的磷酸水溶液,且硝酸水溶液对乙酸水溶液和对磷酸水溶液的体积比为约1.1:0.9:0.9至约0.9:1.1:1.1。
本揭露的又一态样是提供一种物理气相沉积构件。物理气相沉积构件包含基板支撑件,其具有一C型轮廓的警示装置位于基板支撑件的中央处。警示装置配置用以发出一警讯,且当警示装置发出警讯时,使用清洁液来清洁基板支撑件。
附图说明
当结合随附附图进行阅读时,本揭露发明实施例的详细描述将能被充分地理解。应注意,根据业界标准实务,各特征并非按比例绘制且仅用于图示目的。事实上,出于论述清晰的目的,可任意增加或减小各特征的尺寸。在说明书及附图中以相同的标号表示相似的特征。
图1绘示根据揭露某些实施方式的清洁静电吸盘的方法的流程图;
图2绘示根据揭露某些实施方式的物理气相沉积装置的剖面示意图;
图3绘示根据揭露某些实施方式的半导体晶圆于某一制程阶段的剖面示意图;
图4绘示根据揭露某些实施方式的静电吸盘的上视示意图;
图5绘示根据揭露某些实施方式的沉积硬遮罩的剖面示意图;
图6绘示根据揭露某些实施方式的移开沉积有硬遮罩半导体晶圆后污染物掉落至静电吸盘上的剖面示意图;
图7绘示根据揭露某些实施方式的污染物掉落至静电吸盘的上视示意图;
图8A、图8B及图8C绘示根据揭露某些实施方式的制造半导体结构各阶段的剖面示意图;
图9绘示根据揭露某些实施方式的清洁静电吸盘的方法的流程图;
图10绘示根据揭露某些实施方式的物理气相沉积装置的剖面示意图;
图11A、图11B、图11C及图11D绘示根据揭露某些实施方式的制造半导体晶圆各阶段的剖面示意图;
图12绘示根据揭露某些实施方式的沉积有含铝导电垫的示意图;
图13绘示根据揭露某些实施方式的沉积有含铝导电垫后半导体晶圆结构的剖面示意图;
图14绘示根据揭露某些实施方式的移开沉积有含铝导电垫的半导体晶圆后污染物掉落至静电吸盘上的剖面示意图;
图15绘示根据揭露某些实施方式的污染物掉落至静电吸盘的上视示意图。
【符号说明】
10:方法
110:操作
120:操作
130:操作
140:操作
150:操作
160:操作
20:物理气相沉积装置
210:静电吸盘
212:孔洞
214:警示装置
220:腔室
230:靶材
240:气体通道
30:半导体晶圆
310:下层结构
320:低介电常数介电层
330:抗反射层
340:硬遮罩
350:蚀刻终止层
360:通孔
370:线路结构
410:污染物
50:方法
510:操作
520:操作
530:操作
540:操作
550:操作
560:操作
60:半导体晶圆
610:线路层
611:绝缘层
612:导电层
620:衬层
630:介电层
640:开口
650:扩散阻障层
660:导电垫
710:污染物
P:功率源
具体实施方式
为了使本揭示内容的叙述更加详尽与完备,下文针对了本揭露的实施态样与具体实施例提出了说明性的描述;但这并非实施或运用本揭露具体实施例的唯一形式。以下所揭露的各实施例,在有益的情形下可相互组合或取代,也可在一实施例中附加其他的实施例,而无须进一步的记载或说明。
以下的揭露内容提供许多不同的实施例或范例以实施本揭露多个实施例的不同特征。以下的内容叙述各个构件及其排列方式的特定范例,以简化说明。当然,这些特定的范例并非用以限定。将关于特定具体实施例并参照某些附图来描述本揭露多个实施例,但本揭露多个实施例不限于特定具体实施例以及附图,而只受限于权利要求书。所描述的附图仅为示例性,且非限制性。在附图中,为了示例的目的,一些元件的大小可被放大,且不按比例绘示。尺寸以及相对尺寸不一定相应于用以实施的实际缩图。
此外,在描述以及权利要求书中的用语顶部、底部、之上、之下以及诸如此类是用于描述的目的,且不一定用于描述相对的位置。要了解的是,如此使用的用语在适当的情况下是可交换的,且本文中所描述的具体实施例能够以本文中所描述或示例以外的其他定位来操作。
要注意的是,权利要求书中所使用的用语“包含”不应被理解为受限于其后所列出的手段;它不排除其他元件或操作。因此它被理解为具体说明如同所提及的所陈述特征、整体、操作或构件的存在,但不排除一或更多个其他特征、整体、操作或构件或其群组的存在或加入。因此,“包含装置A以及B的装置”的描述范围不应限于只由构件A以及B所构成的装置。
在制造诸如半导体晶片的基板的过程中,基板会被安置于一反应腔室中并暴露于高能气体下,以在基板上沉积材料。举例来说,反应腔室可以包含物理气相沉积(physicalvapor deposition,PVD)。在PVD腔室中,具有多个套件在处理过程中暴露于高能电浆下,例如用以支撑基板的支撑件,诸如静电吸盘(electrostatic chuck,ESC)等。在这类基板处理制程中,电浆在这些反应腔室的构件的表面上生成沉积物,而使这些套件必须周期性地清洗。然而,PVD的沉积物通常牢固地附着于静电吸盘表面上,且难以通过传统清洗方法来完全清除。
图1绘示根据本揭露某些实施方式的清洁静电吸盘的方法10的流程图。需理解的是,可在方法10之前、之中与之后,执行额外的操作,而对于方法10的额外实施例而言,操作的一些可被取代、排除或移动。清洁静电吸盘的方法10仅为一示范的实施例,且不打算用来限制本揭露各个实施例,除了权利要求书中所明确记载之外。清洁静电吸盘的方法10包含操作110、操作120、操作130、操作140、操作150及操作160。
图2绘示根据揭露某些实施方式的物理气相沉积装置20的剖面示意图。请同时参阅图1及图2,清洁静电吸盘的方法10开始于操作110。在操作110中,将半导体晶圆30置于物理气相沉积装置20的静电吸盘210上。具体的说,半导体晶圆30包含下层结构310、位于下层结构310上的低介电常数(k)介电层320、以及位于低介电常数介电层320上的抗反射层330于。为了避免附图过于复杂,于图2中将半导体晶圆30的多层结构简化地标示为一个单层。
图3绘示根据揭露某些实施方式的半导体晶圆30于某一制程阶段的剖面示意图。在一些实施例中,下层结构310为一典型且具有各种沉积预备金属层或形成在其各别分离的介电层的半导体基板。例如,下层结构310可以为具活性特征的硅基板,其中所述活性特征例如为一层或多层的多晶硅层、场效隔离氧化层、栅极氧化层、氮化硅层、以及金属化层。
在一些实施例中,为了形成下层结构310,首先将极纯的单晶硅晶片暴露于高温蒸气并在其上形成一层氮化硅。接着于氮化硅层表面通过化学气相沉积(chemical vapordeposition,CVD)法沉积反应气体,例如盐水和氨。值得注意的是,其他沉积步骤例如,常压下CVD(Atmospheric Pressure CVD,APCVD)、低压下CVD(Low Pressure CVD,LPCVD)、电浆增强CVD(Plasma Enhanced CVD,PECVD)、有机金属CVD(Metal Organic CVD,MOCVD)、物理气相沉积(PVD)、原子层沉积(Atomic Layer Deposition,ALD)、化学溶液沉积、溅射、以及其组合皆可以使用。将此结构涂布上一光阻层,并通过微影技术图案化以及干式蚀刻流程蚀刻导孔以形成浅沟槽隔离(shallow trench isolation,STI)结构。沉积氧化硅或介电金属至浅沟槽隔离以及移除氮化硅层之后,在表面上沉积金属栅极,其中金属栅极的材料可为钨(W)、钛(Ti)、铊(Ta)、铝(Al)、镍(Ni)、钌(Ru)、钯(Pd)、铂(Pt)、氮化钨(WNx)、氮化钛(TiN)、氮化铊(TaN)、氮化铝(AlN)、硅化钨(WSix)、硅化镍(Ni2Si)、硅化钛(TiSi2)、铝化钛(TiAl)、其合金、以及其组合。在一些实施例中,多晶硅玻璃通常用作金属栅极的材料。通过CVD的反应气体(例如盐水和氮)沉积多晶硅栅极层于表面上。多晶硅于微影制程与蚀刻制程下将形成多晶硅栅极。最后,植入磷离子和硼离子至晶圆中,以形成源极/漏极区,其中源极/漏极区可包含漏极轻掺杂(Lightly Doped Drain,LDD)区。下层结构310包含多种结构以及在金属层前的层别于图3中简化地标示为一个单层。
在一些实施例中,低k介电层320可通过沉积步骤,例如化学气相沉积(CVD)法沉积于下层结构310。低k介电层320的功能如间隔层及介电滤波器一般,以减少其中两个导电元件间的寄生电容。在多个实施例中,低k介电层320的材料可以是含碳的介电材料并且可以进一步包含氮,氢,氧以及其组合。低k介电层320的实例包含但不限于掺氮的碳化硅,氮化铝,氧化铝,富硅氮化物的材料。
在使用微影技术制程之后,半导体晶圆30结构中的许多层别会反射紫外光。从这些层反射出来的反射光会造成特征尺寸上的形变,亦即在能量敏感的抗蚀材料中更容易形成通孔开口。因此,在半导体晶圆30结构上涂布一层抗反射层330是必要的,用以帮助减少反射光反射到下层材料,图案化前的驻波,薄膜干扰以及镜面反射。在多个实施例中,抗反射层330为无氮抗反射层(nitrogen free anti-reflection layer,NFARL)。
图4绘示根据揭露某些实施方式的静电吸盘210的上视示意图。静电吸盘210为物理气相沉积装置20中的其中一个套件。一般来说,静电吸盘210可以是强生-雷贝克(Johnsen-Rahbel)型静电吸盘或库伦力(Coulombic-Force)型静电吸盘。库伦力型静电吸盘与半导体晶圆接触的表面的介电层是使用具有较高阻抗的陶瓷材料,且此陶瓷层中有夹一层导电电极层,当电极被接通到高压直流电源后,介电层的表面会产生极化电荷,分布在半导体晶圆背面的电荷与分布在吸盘上面的电荷极性相反,半导体晶圆即会被吸盘吸住。而强生-雷贝克型静电吸盘与半导体晶圆接触的表面的介电层则是使用半导体材料,其介电层表面不仅有极化电荷,还有很大部分的自由电荷,这是因为强生-雷贝克型静电吸盘的介电层有一定的导电性。一般来说,强生-雷贝克型静电吸盘的吸力比库伦力型静电吸盘的大。
在半导体制程中,使用静电吸盘具有以下的优点:可于真空环境下产生安定的吸附力、静电吸盘具有高平坦度、吸附力量均匀、无微粒产生、可控制温度以及可用于多孔材料等。在多个实施例中,静电吸盘210包含陶瓷,因其具有光滑特性,而不易刮伤吸附于其上的半导体晶圆。
如图4所示,静电吸盘210上有均匀分布的多个孔洞212。在多个实施例中,这些孔洞212在静电吸盘210的表面上呈现多个同心圆状的配置。在多个实施例中,每一个孔洞212的直径为约90微米至约600微米。举例来说,每一个孔洞212的直径可以为约100微米至约550微米、约150微米至约500微米、约200微米至约450微米、约250微米至约400微米、或约300微米至约350微米。这些孔洞212可以真空排气的方式产生一吸附力,进而牢固地吸附上方的半导体晶圆。
图5绘示根据揭露某些实施方式的沉积硬遮罩340的剖面示意图。请同时参照图1及图5。清洁静电吸盘的方法10继续至操作120。在操作120中,沉积包含氮化钛的硬遮罩340于半导体晶圆30的抗反射层330上,且包含氮化钛的污染物410附着于物理气相沉积装置20的腔室220内。须说明的是,物理气相沉积技术是透过物理方式将原子或分子沉积于基板表面上,借此在金属材料、合金材料、陶瓷材料或晶圆基板表面上形成薄膜。在物理气相沉积技术中,可区分为蒸镀(evaporation)或溅镀(sputtering)两种沉积方式。具体的说,溅镀沉积法是利用辉光放电(glow discharge)效应,将惰性气体电离并且轰击至靶材(target)表面,使靶材的原子或分子弹出而堆积在基板表面,以形成具有良好均匀度的薄膜。在物理气相沉积的过程中,即便PVD装置有可能经过特殊涂层处理以避免靶材的原子或分子沾粘,但是,经过长时间的PVD处理,部分靶材的原子或分子难免仍会附着在腔室里,进而累积并形成污染物。
图6绘示根据揭露某些实施方式的移开沉积有硬遮罩340的半导体晶圆30后污染物410掉落至静电吸盘210上的剖面示意图。图7绘示根据揭露某些实施方式的污染物410掉落至静电吸盘210的上视示意图。请同时参照图1、图6及图7,清洁静电吸盘的方法10继续至操作130和操作140。在操作130中,自静电吸盘210上移开沉积有硬遮罩340的半导体晶圆30。在操作140中,污染物410掉落至静电吸盘210上。应注意,在操作140中所述的污染物410是包含氮化钛(TiN)。当附着在腔室220里的含氮化钛污染物410累积过多则会掉落并附着至静电吸盘210上,甚至嵌埋于静电吸盘210上的孔洞212中,且通常难以通过传统的清洁方式(例如,机械性钻孔、电浆解离蚀刻反应气体等)来移除这些污染物。在其他替代实施例中,这些污染物410可能包含有机物、氧化物、金属、半导体微粒及其组合。由于静电吸盘210是属于极高成本的PVD腔室构件,因此,有必要定期清洁静电吸盘210,以延长静电吸盘210的使用寿命。在一实施例中,静电吸盘300的中央处具有一C型轮廓的警示装置214,其配置用以发出一警讯以提醒操作人员检视是否有污染物固着于静电吸盘210上。举例来说,警示装置214包含重量侦测器、电阻侦测器、气流侦测器或上述侦测器的组合。有关警示装置214的工作原理将在下文详细描述。
请回到图1,清洁静电吸盘的方法10继续至操作150。在操作150中,施加清洁液于静电吸盘上以移除污染物。举例来说,可以利用擦拭或类似的方式来移除污染物。在一实施例中,操作150是在常温及常压下进行。具体的说,在此所指的常温为一般环境温度或者室温,通常为约摄氏20度至30度(亦即,约293K至303K)。具体的说,在此所指的常压为约一大气压(亦即,约101.3Kpa)。可以理解的是,相较于其他需透过在高温下清洁的方式,在常温常压下进行清洁的这种方式在操作上更为方便且更节省能源。在此需注意的是,清洁液亦为常温,且无须再经过加热。
在一实施例中,当静电吸盘210上有包含氮化钛的污染物410时,可以使用包含有硫酸浓度为约19wt%~21wt%的硫酸水溶液和过氧化氢浓度为约19wt%~21wt%的过氧化氢水溶液的清洁液来进行清洁操作。此清洁液能够与氮化钛发生反应并使其溶解。举例来说,硫酸水溶液中的硫酸浓度可以为约19.5wt%~20.5wt%或约20wt%~20.5wt%,且过氧化氢水溶液中的过氧化氢浓度可以为约19.5wt%~20.5wt%或约20wt%~20.5wt%。当硫酸水溶液中的硫酸浓度和过氧化氢水溶液中的过氧化氢浓度超过约21wt%或者低于约19wt%时,其用来清洁包含氮化钛的污染物的效果并不佳,可能还会有残留的污染物。须说明的是,硫酸水溶液对过氧化氢水溶液的体积比为约0.9:1.1至约1.1:0.9。举例来说,硫酸水溶液对过氧化氢水溶液的体积比可以为约0.9:1.1至约1.0:1.1、约0.9:1.1至约1.1:1.1、约1.0:1.1至约1.1:1.1、约1.1:1.0至约1.1:0.9、或约1.1:1.1至约1.1:0.9等。
清洁静电吸盘的方法10继续至操作160。在操作160中,施加去离子(Deionized,DI)水于移除污染物后的静电吸盘以移除清洁液。为了避免清洁液停留在静电吸盘上的时间过长而损害静电吸盘,因此需要使用去离子水将清洁液从静电吸盘上带走。举例来说,可以利用擦拭或类似的方式来移除清洁液。
图8A绘示根据揭露某些实施方式的制造半导体结构某一阶段的剖面示意图。在多个实施例中,如图8A所示,可以在沉积包含氮化钛的硬遮罩340于抗反射层330上之后,继续沉积一蚀刻终止层(etch stop layer,ESL)350于硬遮罩340上。蚀刻终止层350包含四乙氧基硅烷(tetra-ethyl-ortho-silicate,TEOS),且可通过CVD制程或PVD制程而形成。更详细的说,包含四乙氧基硅烷(TEOS)的蚀刻终止层350可以使用前驱物包含甲基二乙氧基硅烷(methyldiethoxysilane,mDEOS)进行化学气相沉积制程沉积而成。蚀刻终止层350可以控制后续开口形成后的形状。
图8B绘示根据揭露某些实施方式的制造半导体结构某一阶段的剖面示意图。在多个实施例中,如图8B所示,可以在沉积蚀刻终止层350于硬遮罩340上之后,形成通孔360贯穿蚀刻终止层350和硬遮罩340。详细的说,首先,可以先在蚀刻终止层350上涂布一光阻层(图未示),接着通过微影技术完成图案化制程。在图案化制程中,所述光阻层可选择性地暴露于紫外线辐射下且显影后在光阻光罩中形成孔洞图案(图未示)。然后,可以通过使用反应气体例如氟化物,氧,氯,三氯化硼的干式蚀刻形成通孔360穿透蚀刻终止层350及硬遮罩340。在其他实施例中,有时亦可添加氮气,氩气,氦气以及其他气体于反应气体中。
图8C绘示根据揭露某些实施方式的制造半导体结构某一阶段的剖面示意图。在多个实施例中,可以在通孔360之后,继续填入导体材料于通孔360中以形成线路结构370。在多个实施例中,导体材料例如可以为铜,亦可以为任何合适的导电材料,如铜合金、铝、钨、银、其任意组合及/或其类似者。在多个实施例中,线路结构370可由合适的技术如电镀、化学镀、化学气相沉积及其类似者形成于通孔360中。在某些实施例中,可以使用平坦化制程移除多余的导电材料直至蚀刻终止层350的上表面外露。平坦化制程可通过合适技术如研磨、抛光及/或化学蚀刻、蚀刻技术的组合来执行。举例来说,平坦化过程可通过化学机械研磨(Chemical Mechanical Planarization,CMP)来执行。在化学机械研磨过程中,蚀刻材料与研磨材料的组合会与半导体晶圆结构的上表面接触,而一研磨垫(图未示)会被用以磨去多余的导电材料。
图9绘示根据揭露某些实施方式的清洁静电吸盘的方法50的流程图。需理解的是,可在方法50之前、之中与之后,执行额外的操作,而对于方法50的额外实施例而言,操作的一些可被取代、排除或移动。清洁静电吸盘的方法50仅为一示范的实施例,且不打算用来限制本揭露各个实施例,除了权利要求书中所明确记载之外。清洁静电吸盘的方法50包含操作510、操作520、操作530、操作540、操作550及操作560。
图10绘示根据揭露某些实施方式的物理气相沉积装置20的剖面示意图。图11A至图11D绘示根据揭露某些实施方式的制造半导体晶圆60的各个阶段的剖面示意图。请同时参阅图9、图10、图11A至图11D,清洁静电吸盘的方法50开始于操作510。在操作510中,将半导体晶圆60置于物理气相沉积装置20的静电吸盘210上。具体的说,半导体晶圆60包含线路层610、位于线路层610上的衬层620、位于衬层620上的介电层630,其中介电层630具有一开口640贯穿介电层630及衬层620、以及保形地设置在介电层630上及开口640的侧壁和底部的扩散阻障层650。为了避免附图过于复杂,于图10中将半导体晶圆60的多层结构简化地标示为一个单层。
如图11A所示,衬层620形成于线路层610上。在多个实施例中,衬层620可为介电材料如氮化硅、碳化硅、氧化硅、硼化硅、氟化硅、其组合及其多层组合。在一些实施例中,衬层620可由适宜的沉积过程形成,如化学气相沉积、气溶胶辅助气相沉积、直接液体注入化学气相沉积、微波电浆辅助化学气相沉积、等离子体增强化学气相沉积、远距电浆增强化学气相沉积、原子层化学气相沉积、燃烧化学气相沉积、热灯丝化学气相沉积、混合物理化学气相沉积、有机金属化学气相沉积、快速热化学气相沉积、气相磊晶、光引发化学气相沉积及/或其类似方式。在多个实施例中,线路层610是由导电层612和绝缘层611所组成。
如图11B所示,介电层630形成于衬层620上。在多个实施例中,介电层630可包含无掺杂硅玻璃(undoped silicate glass,USG)。在一些实施例中,介电层630可通过沉积步骤,例如化学气相沉积制程沉积于衬层620上。
如图11C所示,形成一开口640贯穿介电层630和衬层620并暴露出线路层610的一部分。更详细的说,开口640暴露出线路层610中的导电层612。在多个实施例中,首先,可以先在介电层630上涂布一光阻层(图未示),接着通过微影技术完成图案化制程。在图案化制程中,所述光阻层可选择性地暴露于紫外线辐射下且显影后在光阻光罩中形成孔洞图案(图未示)。然后,可以通过使用反应气体例如氟化物,氧,氯,三氯化硼的干式蚀刻形成开口640贯穿介电层630和衬层620。在其他实施例中,有时亦可添加氮气,氩气,氦气以及其他气体于反应气体中。
如图11D所示,扩散阻障层650保型覆盖介电层630及开口640的侧壁和底部。在多个实施例中,扩散阻障层650可包含氮化钽(TaN)、钽(Ta)、钛(Ti)、氮化钛(TiN)或上述的组合。在多个实施例中,扩散阻障层650可使用原子层沉积(atomic layer deposition,ALD)制程或CVD制程来沉积。
图12绘示根据揭露某些实施方式的沉积含铝导电垫660的示意图。图13绘示根据揭露某些实施方式的沉积含铝导电垫660后半导体晶圆结构的剖面示意图。请同时参阅图9、图12及图13,清洁静电吸盘的方法50继续至操作520。在操作520中,沉积包含铝的导电垫660于半导体晶圆60的扩散阻障层650上,且包含铝的污染物710附着于物理气相沉积装置20的腔室220内。为了避免附图过于复杂,于图12中将半导体晶圆60的多层结构简化地标示为一个单层。在多个实施例中,包含铝的导电垫660可以由铝或铝铜合金所构成。在物理气相沉积的过程中,即便PVD装置有可能经过特殊涂层处理以避免靶材的原子或分子沾粘,但是,经过长时间的PVD处理,部分靶材的原子或分子难免仍会附着在腔室里,进而累积并形成污染物。
图14绘示根据揭露某些实施方式的移开沉积有含铝导电垫660的半导体晶圆60后污染物710掉落至静电吸盘210上的剖面示意图。图15绘示根据揭露某些实施方式的污染物710掉落至静电吸盘210的上视示意图。请同时参照图9、图14及图15,清洁静电吸盘的方法50继续至操作530和操作540。在操作530中,自静电吸盘210上移开沉积有包含铝的导电垫660的半导体晶圆60。在操作540中,污染物710掉落至静电吸盘210上。应注意,在此操作540中的所述的污染物710是包含铝(Al)。当附着在腔室220里的含铝污染物710累积过多则会掉落并附着至静电吸盘210上,甚至嵌埋于静电吸盘210上的这些孔洞212中,且通常难以通过传统的清洁方式(例如,机械性钻孔、电浆解离蚀刻反应气体等)来移除这些污染物。在其他替代实施例中,这些污染物710可能包含有机物、氧化物、金属、半导体微粒及其组合。
请回到图9,清洁静电吸盘的方法50继续至操作550。在操作550中,施加清洁液于静电吸盘上以移除污染物。举例来说,可以利用擦拭或类似的方式来移除污染物。在一实施例中,操作550是在常温及常压下进行。具体的说,在此所指的常温为一般环境温度或者室温,通常为约摄氏20度至约摄氏30度(亦即,约293K至约303K)。具体的说,在此所指的常压为约一大气压(亦即,约101.3Kpa)。可以理解的是,相较于其他需透过在高温下清洁的方式,在常温常压下进行清洁的这种方式在操作上更为方便且更节省能源。在此需注意的是,清洁液亦为常温,且无须再经过加热。
在一实施例中,当静电吸盘210上有包含铝的污染物710时,可以使用包含有硝酸浓度为约19wt%~21wt%的硝酸水溶液、乙酸浓度为约19wt%~21wt%的乙酸水溶液和磷酸浓度为约19wt%~21wt%的磷酸水溶液的清洁液来进行清洁操作。此清洁液能够与铝发生反应并使其溶解。举例来说,硝酸水溶液中的硝酸浓度可以为约19.5wt%~20.5wt%、或约20wt%~20.5wt%;乙酸水溶液中的乙酸浓度可以为约19.5wt%~20.5wt%、或约20wt%~20.5wt%;以及磷酸水溶液中的磷酸浓度可以为约19.5wt%~20.5wt%、或约20wt%~20.5wt%。当硝酸水溶液中的硝酸浓度、乙酸水溶液中的乙酸浓度和磷酸水溶液中的磷酸浓度超过约21wt%或者低于约19wt%时,其用来清洁包含铝的污染物的效果并不佳,可能还会有残留的污染物。须说明的是,硝酸水溶液对乙酸水溶液和对磷酸水溶液的体积比为约1.1:0.9:0.9至约0.9:1.1:1.1。举例来说,硝酸水溶液对乙酸水溶液和对磷酸水溶液的体积比可以为约1.0:0.9:0.9至约1.1:0.9:0.9、约0.9:1.1:1.1至约1.0:1.1:1.1、约0.9:1.1:1.1至约1.0:1.0:0.9、约1.1:0.9:0.9至约1.0:0.9:1.0、约0.9:1.1:1.1至约1.0:1.0:1.0、约0.9:1.0:0.9至约0.9:1.1:1.1、或约0.9:1.0:1.0至约1.1:0.9:0.9等。
清洁静电吸盘的方法50继续至操作560。在操作560中,施加去离子水于移除污染物后的静电吸盘以移除清洁液。为了避免清洁液停留在静电吸盘上的时间过长而损害静电吸盘,因此需要使用去离子水将清洁液从静电吸盘上带走。举例来说,可以利用擦拭或类似的方式来移除清洁液。
请回到图2或图10,物理气相沉积装置20还包含多个物理气相沉积套件(kits),其中包含基板支撑件。基板支撑件在制程加工期间用以固定和/或传输半导体晶圆(或基板)和/或对半导体晶圆(或基板)进行温度控制。在多个实施例中,基板支撑件可以为加热器(heater)、静电吸盘210、陶瓷基座或其组合。图2及图10仅绘示了基板支撑件为静电吸盘210的实例,但不以此为限。
在多个实施例中,半导体晶圆可以为一硅晶圆,或者包含其他半导体材料。在一实施例中,半导体晶圆可包含有如图3或图11D所示的半导体晶圆结构30/60。在另一些实例中,半导体晶圆包含其他示范的半导体,例如,锗;一化学半导体,包含砷化镓(GaAs)、砷化铟(InAs)、锑化铟(InSb)、磷化镓(GaP)和/或磷化铟(InP);或一合金半导体,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP。在又一替代实例中,半导体晶圆包含绝缘层上半导体(semiconductor on insulator,SOI)结构。在其他实例中,半导体晶圆也包含一或多个导电和/或介电层。举例来说,介电层可包含氧化硅、高介电常数介电材料(high-k dielectric material)层或其组合,而用于栅极电极层的导电层则可以包含掺杂的多晶硅(doped polysilicon)或金属,例如,铝(Al)、钨(W)、镍(Ni)、金(Au)、钛(Ti)、铂(Pt)、铜(Cu)或上述金属的合金。
请回到图4,在基板支撑件为静电吸盘的实施例中,静电吸盘210具有多个孔洞212,且这些孔洞212均匀分布在静电吸盘210的表面。在多个实施例中,这些孔洞212在静电吸盘210的表面上呈现多个同心圆状的配置。在多个实施例中,每一个孔洞212的直径为约90微米至约600微米。举例来说,每一个孔洞212的直径可以为约100微米至约550微米、约150微米至约500微米、约200微米至约450微米、约250微米至约400微米、或约300微米至约350微米。在某些实施例中,前述的污染物(例如,含铝污染物或含氮化钛污染物)可能因静电吸盘210的吸力和/或高温而嵌埋于这些孔洞212中。当污染物附着在静电吸盘210的表面和/或嵌于孔洞212中时,静电吸盘210在PVD制程期间内无法提供足够的吸附力,而可能导致半导体晶圆的浮动。在这种情况下,静电吸盘210中央处的警示装置214将无法侦测到半导体晶圆,进而发出一警讯,以提醒操作人员检视。
举例来说,在警示装置214包含电阻侦测器的实施例中,若半导体晶圆(或基板)因静电吸盘210上的污染物而无法完全贴附在静电吸盘210上时,会使得电流流过电阻侦测器所侦测出来的电阻值与预设范围不符。电阻侦测器会将接收到的电阻变化转换成电压输出,进而启动警示装置214发出一警讯。
在一实施例中,警示装置214可进一步连接设置在物理气相沉积装置20外的可视警报器(图未示)。举例来说,可视警报器可为警示灯。当警示装置214侦测到半导体晶圆的物理量(例如,重量、电阻值、气流量等)有异常时,警示灯则会亮起警讯灯光,以达明显的警示效果。在另一实施例中,警示装置214可进一步连接设置在物理气相沉积装置20外的可听警报器(图未示)。举例来说,可听警报器可为声响器。当警示装置214侦测到半导体晶圆的物理量(例如,重量、电阻值、气流量等)有异常时,声响器则会响起警讯声响以警告操作人员,进而达明显的警示效果。在其他实施例中,可以使用其他具有明显警示效果的警示方式。
一般来说,在批量生产完之后,即便警示装置214没有发出警讯仍可以使用前述的清洁液进行静电吸盘210的清洁,以维持静电吸盘210的工作效能。当在PVD制程加工期间,若因污染物而导致警示装置214发出警讯时,操作人员需先切断物理气相沉积装置20的电源,再进行清洁操作。
请回到图2或图10,在多个实施例中,物理气相沉积装置20还包含靶材230位于半导体晶圆(或基材)的正上方,作为薄膜沉积加工的来源。举例来说,靶材230包含待沉积到半导体晶圆(或基材)上的材料,诸如铝和氮化钛的至少一者。在多个实施例中,物理气相沉积装置20还包含功率源P,其与靶材230电性连接。举例来说,功率源P包含直流电(Directcurrent,DC)、射频(Radio frequency,RF)和/或导磁铁(Magnet)。功率源P可对靶材230施加偏压,通过所施加的电压在腔室内产生的电场激发溅镀气体以形成电浆,此电浆积极地撞击并轰击靶材230以从靶材230溅镀出材料并沉积到半导体晶圆(或基材)上。
此外,物理气相沉积装置20还包含气体通道240。气体通道240具有诸如质量流量控制器等气流控制阀(未示出)以使制程气体以一设定流速通过。制程气体可包含能够碰撞靶材230并从靶材230溅镀出材料的非活性气体,诸如氩气或氙气。图2及图10仅绘示出一个气体通道240,但不以此为限,可视需求增加气体通道240的数量,例如为2个、3个或4个。
本揭露一或多个实施例可提供多种优点至清洁静电吸盘的方法及物理气相沉积构件,不过这些优点并非用以局限本揭露的多个实施例。举例来说,依据本揭露实施例提供了在物理气相沉积装置中用于清洁其内部构件的方法,更具体的说,是清洁静电吸盘的方法。特别的是,本揭露多个实施例公开了,针对静电吸盘上附着的不同污染物,使用不同的清洁液来移除,且此清洁过程在常温常压下进行,操作极为方便,且能够完全清除静电吸盘上的污染物。
本揭露的一态样是提供一种清洁静电吸盘的方法,此方法包含以下操作。(i)将半导体晶圆置于物理气相沉积装置的静电吸盘上,其中半导体晶圆包含位于下层结构上的低k介电层,以及位于低介电常数介电层上的抗反射层。(ii)沉积包含氮化钛的硬遮罩于抗反射层上,且包含氮化钛的污染物附着于物理气相沉积装置的腔室内。(iii)自静电吸盘上移开沉积有硬遮罩的半导体晶圆。(iv)污染物掉落至静电吸盘上。(v)施加清洁液于静电吸盘上以移除污染物。清洁液包含硫酸浓度为约19wt%~21wt%的硫酸水溶液和过氧化氢浓度为约19wt%~21wt%的过氧化氢水溶液,且硫酸水溶液对过氧化氢水溶液的体积比为约0.9:1.1至约1.1:0.9。
根据本揭露多个实施例,从静电吸盘上移除污染物之后,还包含以下操作。(vi)施加去离子水于移除污染物后的静电吸盘以移除清洁液。
根据本揭露多个实施例,操作(v)是在摄氏约20度至约30度以及约一大气压的环境下进行。
本揭露的另一态样是提供一种清洁静电吸盘的方法,此方法包含以下操作。(i)将半导体晶圆置于物理气相沉积装置的静电吸盘上,其中半导体晶圆包含一线路层、位于线路层上的衬层、位于衬层上的介电层,其中介电层具有一开口贯穿介电层及衬层、以及保形地设置在介电层上及开口的侧壁和底部的扩散阻障层。(ii)沉积包含铝的导电垫于扩散阻障层上,且包含铝的污染物附着于物理气相沉积装置的腔室内。(iii)自静电吸盘上移开沉积有包含铝的导电垫的半导体晶圆。(iv)污染物掉落至静电吸盘上。(v)施加清洁液于静电吸盘上以移除污染物。清洁液包含硝酸浓度为约19wt%~21wt%的硝酸水溶液、乙酸浓度为约19wt%~21wt%的乙酸水溶液和磷酸浓度为约19wt%~21wt%的磷酸水溶液,且硝酸水溶液对乙酸水溶液和对磷酸水溶液的体积比为约1.1:0.9:0.9至约0.9:1.1:1.1。
根据本揭露多个实施例,从静电吸盘上移除污染物之后,还包含以下操作。(vi)施加去离子水于移除污染物后的静电吸盘以移除清洁液。
根据本揭露多个实施例,操作(v)是在摄氏约20度至约30度以及约一大气压的环境下进行。
本揭露的又一态样是提供一种物理气相沉积构件。物理气相沉积构件包含基板支撑件,其具有一C型轮廓的警示装置位于基板支撑件的中央处。警示装置配置用以发出一警讯,且当警示装置发出警讯时,使用清洁液来清洁基板支撑件。
根据本揭露多个实施例,基板支撑件为加热器、静电吸盘、陶瓷基座或其组合。
根据本揭露多个实施例,基板支撑件具有多个孔洞,这些孔洞均匀分布在基板支撑件的表面。
根据本揭露多个实施例,警示装置包含重量侦测器、电阻侦测器、气流侦测器或其组合。
前述内文概述了许多实施例的特征,使本技术领域中具有通常知识者可以从各个方面更佳地了解本揭露多个实施例。本技术领域中具有通常知识者应可理解,且可轻易地以本揭露多个实施例为基础来设计或修饰其他制程及结构,并以此达到相同的目的及/或达到与在此介绍的实施例等相同的优点。本技术领域中具有通常知识者也应了解这些相等的结构并未背离本揭露多个实施例的发明精神与范围。在不背离本揭露多个实施例的发明精神与范围的前提下,可对本揭露多个实施例进行各种改变、置换或修改。

Claims (10)

1.一种清洁静电吸盘的方法,其特征在于,包含以下操作:
(i)将一半导体晶圆置于一物理气相沉积装置的一静电吸盘上,其中该半导体晶圆包含:
一下层结构;
一低介电常数介电层位于该下层结构上;以及
一抗反射层位于该低介电常数介电层上;
(ii)沉积包含氮化钛的一硬遮罩于该抗反射层上,且包含氮化钛的一污染物附着于该物理气相沉积装置的一腔室内;
(iii)自该静电吸盘上移开沉积有该硬遮罩的该半导体晶圆;
(iv)该污染物掉落至该静电吸盘上;以及
(v)施加一清洁液于该静电吸盘上以移除该污染物,其中该清洁液包含一硫酸浓度为19wt%~21wt%的硫酸水溶液和一过氧化氢浓度为19wt%~21wt%的过氧化氢水溶液,且该硫酸水溶液对该过氧化氢水溶液的体积比为0.9:1.1至1.1:0.9。
2.根据权利要求1所述的清洁静电吸盘的方法,其特征在于,还包含:在操作(v)之后,
(vi)施加一去离子水于移除该污染物后的该静电吸盘以移除该清洁液。
3.根据权利要求1所述的清洁静电吸盘的方法,其特征在于,其中该操作(v)是在摄氏20度至30度以及一大气压的环境下进行。
4.一种清洁静电吸盘的方法,其特征在于,包含以下操作:
(i)将一半导体晶圆置于一物理气相沉积装置的一静电吸盘上,该半导体晶圆包含:
一线路层;
一衬层位于该线路层上;
一介电层位于该衬层上,其中该介电层具有一开口贯穿该介电层及该衬层;以及
一扩散阻障层保型设置于该介电层上及该开口的侧壁和底部;
(ii)沉积包含铝的一导电垫于该扩散阻障层上,且包含铝的一污染物附着于该物理气相沉积装置的一腔室内;
(iii)自该静电吸盘上移开沉积有包含铝的该导电垫的该半导体晶圆;
(iv)该污染物掉落至该静电吸盘上;
(v)施加一清洁液于该静电吸盘上以移除该污染物,该清洁液包含一硝酸浓度为19wt%~21wt%的硝酸水溶液、一乙酸浓度为19wt%~21wt%的乙酸水溶液和一磷酸浓度为19wt%~21wt%的磷酸水溶液,且该硝酸水溶液对该乙酸水溶液和对该磷酸水溶液的体积比为1.1:0.9:0.9至0.9:1.1:1.1。
5.根据权利要求4所述的清洁静电吸盘的方法,其特征在于,还包含:在操作(v)之后,
(vi)施加一去离子水于移除该污染物后的该静电吸盘以移除该清洁液。
6.根据权利要求4所述的清洁静电吸盘的方法,其特征在于,其中该操作(v)是在摄氏20度至30度以及一大气压的环境下进行。
7.一种物理气相沉积构件,其特征在于,包含:
一基板支撑件,具有一C型轮廓的一警示装置位于该基板支撑件的一中央处,该警示装置配置用以发出一警讯,其中当该警示装置发出该警讯时,使用一清洁液来清洁该基板支撑件。
8.根据权利要求7所述的物理气相沉积构件,其特征在于,其中该基板支撑件为一加热器、一静电吸盘、一陶瓷基座或其组合。
9.根据权利要求7所述的物理气相沉积构件,其特征在于,其中该基板支撑件具有多个孔洞均匀分布在该基板支撑件的一表面。
10.根据权利要求7所述的物理气相沉积构件,其特征在于,其中该警示装置包含一重量侦测器、一电阻侦测器、一气流侦测器或其组合。
CN202110215946.XA 2021-02-26 2021-02-26 物理气相沉积构件以及清洁静电吸盘的方法 Pending CN114959624A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110215946.XA CN114959624A (zh) 2021-02-26 2021-02-26 物理气相沉积构件以及清洁静电吸盘的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110215946.XA CN114959624A (zh) 2021-02-26 2021-02-26 物理气相沉积构件以及清洁静电吸盘的方法

Publications (1)

Publication Number Publication Date
CN114959624A true CN114959624A (zh) 2022-08-30

Family

ID=82973752

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110215946.XA Pending CN114959624A (zh) 2021-02-26 2021-02-26 物理气相沉积构件以及清洁静电吸盘的方法

Country Status (1)

Country Link
CN (1) CN114959624A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115648054A (zh) * 2022-11-09 2023-01-31 大连理工大学 一种多工位宽禁带半导体晶片光电化学机械抛光装置及方法
CN116262666A (zh) * 2022-12-29 2023-06-16 浙江省冶金研究院有限公司 一种氮化铝基陶瓷复合材料的制备方法及其在静电吸盘上的应用

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960002537A (ko) * 1994-06-03 1996-01-26 제임스 조셉 드롱 플라즈마 반응로에서 정전기 척 세척방법
CN1230769A (zh) * 1998-03-30 1999-10-06 日本电气株式会社 半导体器件制造方法
US6159663A (en) * 1998-06-30 2000-12-12 Intersil Corporation Method of creating a solderable metal layer on glass or ceramic
US20030139058A1 (en) * 2002-01-18 2003-07-24 Nanya Technology Corporation Method to prevent electrical shorts between tungsten interconnects
US20030136428A1 (en) * 2002-01-23 2003-07-24 Applied Materials, Inc. Cleaning process residues on a process chamber component
CN1844447A (zh) * 2006-05-10 2006-10-11 友达光电股份有限公司 清洁溅镀机的方法
KR20070027171A (ko) * 2005-09-06 2007-03-09 삼성전자주식회사 반도체 디바이스 제조를 위한 클리닝 장치
CN101029288A (zh) * 2006-02-28 2007-09-05 李起元 用于除去杂质的清洗液组合物及除去杂质的方法
KR20080088749A (ko) * 2007-03-30 2008-10-06 삼성전자주식회사 반도체 세정설비
CN101332462A (zh) * 2007-06-29 2008-12-31 中芯国际集成电路制造(上海)有限公司 一种静电吸盘的清洗方法
CN101978466A (zh) * 2008-03-20 2011-02-16 诺发系统公司 具有电容敏感特性的静电卡盘装置,以及相关的操作方法
WO2013142690A1 (en) * 2012-03-23 2013-09-26 Varian Semiconductor Equipment Associates, Inc. Platen clamping surface monitoring
US20150165492A1 (en) * 2013-12-12 2015-06-18 Lam Research Corporation Electrostatic chuck cleaning fixture

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960002537A (ko) * 1994-06-03 1996-01-26 제임스 조셉 드롱 플라즈마 반응로에서 정전기 척 세척방법
CN1230769A (zh) * 1998-03-30 1999-10-06 日本电气株式会社 半导体器件制造方法
US6159663A (en) * 1998-06-30 2000-12-12 Intersil Corporation Method of creating a solderable metal layer on glass or ceramic
US20030139058A1 (en) * 2002-01-18 2003-07-24 Nanya Technology Corporation Method to prevent electrical shorts between tungsten interconnects
US20030136428A1 (en) * 2002-01-23 2003-07-24 Applied Materials, Inc. Cleaning process residues on a process chamber component
KR20070027171A (ko) * 2005-09-06 2007-03-09 삼성전자주식회사 반도체 디바이스 제조를 위한 클리닝 장치
CN101029288A (zh) * 2006-02-28 2007-09-05 李起元 用于除去杂质的清洗液组合物及除去杂质的方法
CN1844447A (zh) * 2006-05-10 2006-10-11 友达光电股份有限公司 清洁溅镀机的方法
KR20080088749A (ko) * 2007-03-30 2008-10-06 삼성전자주식회사 반도체 세정설비
CN101332462A (zh) * 2007-06-29 2008-12-31 中芯国际集成电路制造(上海)有限公司 一种静电吸盘的清洗方法
CN101978466A (zh) * 2008-03-20 2011-02-16 诺发系统公司 具有电容敏感特性的静电卡盘装置,以及相关的操作方法
WO2013142690A1 (en) * 2012-03-23 2013-09-26 Varian Semiconductor Equipment Associates, Inc. Platen clamping surface monitoring
US20150165492A1 (en) * 2013-12-12 2015-06-18 Lam Research Corporation Electrostatic chuck cleaning fixture

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115648054A (zh) * 2022-11-09 2023-01-31 大连理工大学 一种多工位宽禁带半导体晶片光电化学机械抛光装置及方法
CN116262666A (zh) * 2022-12-29 2023-06-16 浙江省冶金研究院有限公司 一种氮化铝基陶瓷复合材料的制备方法及其在静电吸盘上的应用
CN116262666B (zh) * 2022-12-29 2024-05-17 浙江省冶金研究院有限公司 一种氮化铝基陶瓷复合材料的制备方法及其在静电吸盘上的应用

Similar Documents

Publication Publication Date Title
US10049891B1 (en) Selective in situ cobalt residue removal
US11101174B2 (en) Gap fill deposition process
US8980758B1 (en) Methods for etching an etching stop layer utilizing a cyclical etching process
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6187682B1 (en) Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
TWI774688B (zh) 蝕刻處理中保護超低介電材料不受損害以得到期望的特徵部之製造方法
US8642473B2 (en) Methods for contact clean
US20070000870A1 (en) Plasma processing method
US9150969B2 (en) Method of etching metal layer
CN114959624A (zh) 物理气相沉积构件以及清洁静电吸盘的方法
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
KR20140076514A (ko) Cu 배선의 형성 방법 및 기억 매체
KR100676206B1 (ko) 반도체 디바이스 제조설비에서의 프로세스 챔버 세정 방법
CN101286473B (zh) 半导体器件的制造方法
US9735046B2 (en) Semiconductor device manufacturing method and storage medium
US20130330920A1 (en) Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma
TWI752835B (zh) 物理氣相沉積構件以及清潔靜電吸盤的方法
US11094588B2 (en) Interconnection structure of selective deposition process
TW202147517A (zh) 用於完全對準介層窗(fav)之導電帽的選擇性沉積
US7517802B2 (en) Method for reducing foreign material concentrations in etch chambers
US20230062974A1 (en) Cleaning chamber for metal oxide removal
US8691709B2 (en) Method of forming metal carbide barrier layers for fluorocarbon films
US20230326958A1 (en) Metal-insulator-metal capacitor and methods of manufacturing
EP4375394A1 (en) Method of operating a pvd apparatus
US20220028670A1 (en) Plasma processing method and plasma processing apparatus

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination