CN101044604A - 新聚有机硅氧烷介电材料 - Google Patents

新聚有机硅氧烷介电材料 Download PDF

Info

Publication number
CN101044604A
CN101044604A CNA2005800362552A CN200580036255A CN101044604A CN 101044604 A CN101044604 A CN 101044604A CN A2005800362552 A CNA2005800362552 A CN A2005800362552A CN 200580036255 A CN200580036255 A CN 200580036255A CN 101044604 A CN101044604 A CN 101044604A
Authority
CN
China
Prior art keywords
film
monomer
integrated circuit
dielectric
polymerization
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800362552A
Other languages
English (en)
Other versions
CN101044604B (zh
Inventor
J·T·兰塔拉
J·波拉萨里
J·凯尔马
T·T·托马南
J·皮蒂凯南
N·哈克
A·哈德兹克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silecs Oy
Silexos Inc
Original Assignee
Silexos Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silexos Inc filed Critical Silexos Inc
Publication of CN101044604A publication Critical patent/CN101044604A/zh
Application granted granted Critical
Publication of CN101044604B publication Critical patent/CN101044604B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/14Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/50Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms by carbon linkages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/46Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes silicones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Polymers (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及一种薄膜,所述薄膜包含通过聚合具有式(I)的单体(其中R1为可水解基团,R2为极化性减小有机基团,R3为桥联烃基)以形成硅氧烷材料得到的组合物。本发明也涉及用于制备薄膜的方法。薄膜可用作集成电路装置中的低k电介质。新介电材料具有优良的平面化性能,导致在半导体衬底形态顶部良好的局部和全局平面性,这减小或消除在电介质和氧化物衬垫沉积后对化学机械平面化的需要。

Description

新聚有机硅氧烷介电材料
                        发明背景
发明领域
本发明涉及适合用作IC’s中电介质和其它类似用途的薄膜。具体地讲,本发明涉及包含可通过含硅单体聚合得到的组合物的薄膜,其得到至少部分交联的硅氧烷结构。本发明也涉及用于通过经单体聚合制备硅氧烷组合物、通过在衬底上以层的形式涂布聚合的组合物和通过固化所述层形成膜制备这样薄膜的方法。另外,本发明涉及集成电路装置和制造它们的方法。
相关领域描述
基于半导体衬底,集成电路包含数百万个晶体管和其它器件,它们相互间和与外部封装材料通过包埋在介电材料中的多个层次的垂直和水平接线电通信。在金属化结构中,“通路”构成垂直接线,而“互连”形成水平接线。制造金属化可包括多层电介质和金属的连续沉积和图案化以实现在晶体管中和与外部封装材料的电连接。给定层的图案化通常通过包括在衬底上进行层沉积、光致抗蚀剂旋涂、光致抗蚀剂暴露、光致抗蚀剂显影、层蚀刻和光致抗蚀剂去除的多步骤方法实施。或者,金属有时可能通过首先将图案蚀刻入介电材料层,用金属填充图案,然后接着将金属化学/机械抛光以致于金属仅包埋在电介质的开口中得到图案化。作为互连材料,铝由于其高导电性、对SiO2的良好粘附力、已知的加工方法(溅射和蚀刻)和低成本已经使用多年。铝合金也已经被开发多年以与纯铝比较改善熔点、扩散、电迁移和其它特性。跨越连续层的铝、钨已经传统地用作导电通路插塞材料。
在IC’s中,具有约4.0介电常数的二氧化硅已成为电介质的选择,多年来与基于铝和基于钨的互连和通路共同使用。
近年来驱动更快速的微处理器和更强大的电子装置已导致很高电路密度和更快的运行速度,这又要求使用更高导电性金属和与二氧化硅比较显著更低k的电介质(优选低于3.0)。在过去的几年中,VLSI(和ULSI)方法已经正在发展为铜嵌入式工艺(copper damasceneprocess),其中铜(或者铜合金)用于导线的较高导电性,旋压或CVD方法用于生成可用于导线周围的绝缘材料的低k电介质。为了防止蚀刻问题,铜与阻挡层金属一起覆盖沉积在由互连和通路开孔组成的凹式电介质结构上并且随后以称作“双嵌入”的加工方法抛光。通路开孔的底部通常为自先前金属层的互连或者在一些情况中为与衬底接触层的顶部。
概述:除了加工低介电常数以外,理想的电介质应具有以下性质:
1.高模量和硬度以使金属互连与通路的迷宫结合在一起,尤其是在最终芯片封装步骤以及支持化学机械抛光加工步骤。
2.低热膨胀,通常少于或等于金属互连的热膨胀。
3.优良的热稳定性,通常超过400℃,但是更通常甚至超过500℃。
4.甚至作为厚膜结构时无裂纹,优良的填充和平面化性能。
5.与电介质、半导体、扩散阻挡层和金属材料的优良粘附力。
6.足够的导热性以自互连和通路消散焦耳加热。
7.阻止溶剂、水分或反应性气体吸收的材料密度。
8.在很小的尺寸允许要求的蚀刻分布。
9.低电流泄漏、高击穿电压和低损耗因数。
10.在电介质与接触材料之间的稳定界面。
根据需要,通常在综合权衡的基础上设计制造低k材料。
根据介电常数性质,有机聚合物可分为两种不同的类型。非极性聚合物包含具有几乎纯共价键的分子。因为它们主要由非极性C-C键组成,仅采用密度和化学组成可估算介电常数。极性聚合物不具有低损耗,而是含有不同电负性的原子,这引起不对称电荷分布。因此极性聚合物具有较高的介质损耗和介电常数,这取决于它们被评价的频率和温度。几种有机聚合物已经开发用于电介质目的。然而,这些薄膜的适用性由于它们的低热稳定性、柔软性和与对基于SiO2电介质发展的传统技术方法的不相容性而受到限制。
因此大多数当前研制集中在基于SSQ(硅倍半氧烷或硅氧烷)或二氧化硅的介电材料。对于基于SSQ的材料,硅倍半氧烷(硅氧烷)是基本单元。硅倍半氧烷或者T-树脂是具有经验式(R-SiO3/2)n的有机-无机杂化聚合物。这些材料的最常见代表包含梯形结构,含有8个位于硅立方体(T8立方体)顶点的硅原子的笼式结构可包含氢、烷基、链烯基、烷氧基和芳基。许多硅倍半氧烷由于它们在Si上的有机取代而在常用有机溶剂中具有相当良好的溶解性。有机取代基提供低密度和低介电常数母体材料。母体材料的较低介电常数也是因为Si-R键与SiO2中Si-O键相比较的低极化性。用于微电子应用的基于硅倍半氧烷材料主要为氢-硅倍半氧烷HSQ和甲基-硅倍半氧烷(CH3-SiO3/2)n(MSQ)。MSQ材料与HSQ相比较因为CH3基团的体积较大而具有较低介电常数,分别为~2.8和3.0-3.2,Si-CH3键与Si-H相比较具有较低极化性。
基于二氧化硅的材料具有SiO2四面体基本结构。二氧化硅具有其中每一个Si原子键合于四个氧原子的分子结构。每一个硅原子位于氧原子的正四面体中心,即它形成桥接交联。所有纯的二氧化硅具有致密结构和高的化学和优良的热稳定性。例如,用于微电子技术的无定形二氧化硅薄膜具有2.1-2.2g/cm3的密度。然而,它们的介电常数也高,为4.0-4.2,这是由于与Si-O键高极化性有关的介电常数高频率分散作用。因此,有必要用含C有机基团(例如CH3基团)替换一个或更多个Si-O-Si桥联基团,这降低k-值。然而,由于位阻,这些有机单元减少桥接交联的程度,也增加分子之间的自由体积。因此,它们的机械强度(杨氏模量<6GPa)和化学耐性与四面体二氧化硅相比较得到减小。而且,这些基于甲基的硅酸酯和SSQ(即MSQ)聚合物具有相对低的开裂阈值,通常为大约1um或更少。
最近已经作出一些努力以开发增强的MSQ聚合物,通过使它们与在硅烷之间含有桥联烷基的乙硅烷类(即双三甲氧基硅烷)共聚合,因此交联密度已经得到增加。然而,这些材料仍然包含显著量基于甲基的硅烷即甲基三甲氧基硅烷作为共聚单体,并且因为甲基共聚物本性仅得到中等杨氏模量和硬度性质,伴随介电常数为约2.93。
                        发明概述
本发明的一个目的是消除已知技术解决方法的问题和提供具有优良的机械和热性能的新薄膜。
本发明的另一个目的是在硅晶片上提供电介质层。
本发明的第三个目的是提供制备适用于制备具有优良介电性质薄膜的聚(有机硅氧烷)组合物的方法。
本发明的第四个目的是提供在半导体装置上图案化电介质膜的方法。
这些和其它目的以及它们超过已知电介质薄膜的优点及其制备方法(这可自随后的说明将变得显而易见)通过本发明实现,如下文所描述和权利要求。
为了达到这些本发明的目的,我们引入基于多硅烷分子聚有机硅倍半氧烷材料用于半导体装置的层间绝缘薄膜。聚合物基于一种前体分子,没有使用共聚单体。
通常,单体包含两个金属原子,它们通过桥联烃基相互连接并且在两个金属原子上显示可水解取代基以及至少一个能够减少自单体形成的聚合物的极化性的有机基团。具体地讲,金属原子为硅原子,桥联基团为使两个硅原子连接在一起的线形或分支烃基。另外,硅原子之一包含3个可水解基团,另一个硅原子包含2个可水解基团和极化性减小有机基团例如烷基、链烯基或芳基有机基团。后者基团可完全或部分氟化。
以下为用于本发明的通式I前体:
Figure A20058003625500121
其中:
R1为可水解基团例如卤基、烷氧基或酰氧基,
R2为极化性减小有机基团例如烷基、链烯基或芳基,和
R3为桥联基团,尤其是(二价)线形或分支烃基。
通过水解多硅烷单体的可水解基团,然后通过缩聚反应方法进一步聚合形成本发明聚合物。
新材料可用作包含例如(硅)晶片的物体的低k电介质膜。
本发明也提供了形成具有3.0或更小介电常数薄膜的方法,所述方法包括均聚具有式I的单体,形成硅氧烷材料,以薄层形式沉积硅氧烷材料并固化薄层形成膜。
最后,本发明提供了多种用于制备在半导体衬底上包含许多晶体管和具有多层互连的集成电路装置的供选实施方案。多层互连通过以下方法形成:沉积金属层、图案化金属层、沉积具有第一模量和第一k值的第一电介质、沉积具有高于第一种材料的第一模量的第二模量和具有低于第一种材料的第一k值的k值的第二介电材料,不进行化学机械平面化而图案化第一和第二介电材料,将通路填充金属材料沉积到图案化区域。第一介电材料优选包含权利要求1的材料。
更具体地讲,本发明新材料特征为权利要求1的特征部分中阐述的特征。
本发明物体的特征为权利要求18的特征部分中阐述的特征,形成具有2.9或更小介电常数薄膜的方法的特征为权利要求19的特征部分中阐述的特征。
制备集成电路装置的方法特征为权利要求28和29中阐述的特征,集成电路装置特征为权利要求32中阐述的特征。
通过本发明新材料和通过制备它们的方法得到很多优点。因此,本发明提供了与低-k电介质聚合物有关的现有问题,更具体地讲机械性能(模量和硬度)、开裂阈值和热性能,尤其是可用于铝回流加工温度(也称作热铝法)的解决方法。同时,由于高交联桥联基团密度,本发明提供了优良的化学耐性和非常低的化学吸附行为。
另一个重要优点是新低-k介电材料具有优良的平面化性能,导致在半导体衬底形态上优良的局部和全局平面性,这减少或者甚至完全消除电介质和氧化物衬垫沉积后对化学机械平面化的需要。
另外,新材料具有优良的空隙填充性能。
其次,本发明将通过以下详细描述和参照多种工作实例得到更精密检验。
                        附图简述
图1显示实施例1中制备的聚合物的FT-IR谱;
图2a显示本发明加工的钨通路横截面图;和
图2b显示通过热铝法形成的通路横截面图。
                        发明详述
本发明提供了可用于形成热和机械稳定、高开裂阈值、致密和低孔体积以及孔径大小电介质膜的低介电常数硅氧烷聚合物。聚合物产生不含水和甲硅烷醇的薄膜,所述薄膜在受到热处理后具有优良局部和全局平面性以及空隙填充并具有优良电性能。由本发明的聚合物制备的薄膜在最终固化后即使受到高于最终固化温度的温度保持结构、机械和电性能不变。所有这些性能(由于它们优于常规低介电常数聚合物)对克服低介电常数薄膜集成到半导体装置的现有问题是关键的。
本发明提供了仅含有一种在硅原子之间具有至少一个有机桥联基团的组分多硅烷单体单元的均匀低介电常数聚合物。另外,硅原子之一也包含一个极化性减小基团例如烷基、亚烷基或芳基有机基团。硅原子之一包含两个可水解基团和其它三个一旦水解和聚合能够形成连续硅氧烷主链基体的可水解基团例如卤基、烷氧基或酰氧基,但最优选氯基团。
以下为用于本发明的通式I前体:
Figure A20058003625500141
其中:
R1为可水解基团
R2为极化性减小有机基团,和
R3为桥联基团,尤其是线形或分支烃基残基。
R1优选地选自卤基、烷氧基和酰氧基,R2优选地选自烷基、链烯基和芳基,R3优选地选自线形和分支亚烷基、亚链烯基(alkenylene)和亚炔基(alkynylene)。
通过基本上均聚合上式的单体,随后固化以实现交联得到的固化组合物包含交联的有机硅氧烷聚合物即聚(有机硅氧烷)。它可形成薄膜。
如在此使用的“链烯基”包括直链和分支链烯基例如乙烯基和烯丙基。如在此使用的术语“炔基”包括直链和分支炔基,合适地为乙炔。“芳基”意指取代或未取代的单-、二-或更多环芳族碳环基团,芳基的实例为苯基和萘基。更具体地讲,烷基、链烯基或炔基可为线形或分支的。
烷基优选包含1-18,更优选1-14,特别优选1-12个碳原子。烷基优选地在α或β位分支,具有一个和更多个,优选2个C1-C6烷基,特别优选卤代的,尤其是部分或全部氟化的或者全氟化烷基、链烯基或炔基。一些实例为非氟化、部分氟化和全氟化异丙基、叔丁基、丁-2-基、2-甲基丁-2-基和1,2-二甲基丁-2-基。具体地讲,烷基为含有1-6个碳原子的低级烷基,任选具有1-3个选自甲基和卤素的取代基。尤其优选的是甲基、乙基、正丙基、异丙基、正丁基、异丁基和叔丁基。
链烯基优选包含2-18,更优选2-14,特别优选2-12个碳原子。烯属基团(即2个碳原子用双键键合)优选地位于相对于分子中的Si或M原子的2位或更高位。分支链烯基优选地在α或β位分支,具有一个和更多个,优选2个C1-C6烷基、链烯基或炔基,特别优选氟化或全氟化烷基、链烯基或炔基。
炔基优选包含3-18,更优选3-14,特别优选3-12个碳原子。炔属(ethylinic)基团(即2个碳原子用三键键合)优选地位于相对于分子中的Si或M原子的2位或更高位。分支炔基优选地在α或β位分支,具有一个和更多个,优选2个C1-C6烷基、链烯基或炔基,特别优选全氟化烷基、链烯基或炔基。
芳基优选为苯基,它任选地在环上具有1-5个选自卤素、烷基或链烯基的取代基,或者萘基,它任选地在环结构上具有1-11个选自卤素、烷基或链烯基的取代基,取代基被任选氟化(包括全氟化或部分氟化)。
“可水解基团”指卤素(氯、氟、溴)、烷氧基(尤其是C1-10烷氧基例如甲氧基、乙氧基、丙氧基或丁氧基)、酰氧基或在聚合反应例如缩聚反应期间可易于自单体裂除的任何其它基团。
烷氧基通常指具有式R4O-的基团,其中R4表示如以上定义的烷基。烷氧基的烷基残基可为线形或分支的。通常,烷氧基包括具有1-6个碳原子的低级烷氧基例如甲氧基、乙氧基和叔丁氧基。
酰氧基具有通式R5O2-,其中R5表示如以上定义的烷基。具体地讲,酰氧基的烷基残基可与烷氧基中的相应残基具有相同含义。
在本公开的上下文中,有机基团取代基卤素可为F、Cl、Br或I原子并且优选为F或Cl。通常,术语“卤素”在此意指氟、氯、溴或碘原子。
在式I的单体中,硅原子通过连接体基团相互连接。通常,连接体包含1-20个,优选约1-10个碳原子。合适连接体基团R3的实例包括亚烷基、亚链烯基和亚炔基。“亚烷基”通常具有式-(CH2)r-,其中r为1-10的整数。至少一个单元-CH2-的1或2个氢可用以下提及的任何取代基取代。“亚链烯基”相当于亚烷基残基,在烃主链上包含至少一个双键。如果存在几个双键,它们优选共轭。而“亚炔基”相当于亚烷基残基在烃主链上包含至少一个三键。
二价连接体残基可为未取代或取代的。取代基优选选自氟、溴、C1-10-烷基、C1-10-链烯基、C6-18-芳基、丙烯酰基、环氧、羧基和羰基。特别有趣的供选方案包括用至少一个烷基,优选低级烷基或1-4个碳原子取代的亚甲基。作为取代的结果,得到分支连接体链。分支连接体链例如-CH(CH3)-总共可包含象相应线形例如-CH2CH2-一样多的碳原子,即使一些碳原子位于侧链,如以下关于工作实例显示的那样。为本发明目的,这样的分子可被认为“同分异构”。
作为尤其优选的式I化合物的实例可提及1-(三氯甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷和1-(甲基二氯甲硅烷基)-1-(三氯甲硅烷基)乙烷。
本发明提供了可用于形成热和机械稳定、高开裂阈值、致密和低孔体积以及孔径大小电介质膜的低介电常数硅氧烷聚合物。聚合物产生不含水和甲硅烷醇的薄膜,所述薄膜在受到热处理后具有优良局部和全局平面性以及空隙填充并具有优良电性能。由本发明的聚合物制备的薄膜在最终固化后即使受到高于最终固化温度的温度保持结构、机械和电性能不变。所有这些性能(由于它们优于常规低介电常数聚合物)对克服低介电常数薄膜集成到半导体装置的现有问题是关键的。
聚合合成是基于水解和缩合化学合成技术。聚合反应可在熔融相或液体介质中实施。温度为约20-200℃,通常约25-160℃,尤其约80-150℃。通常聚合反应在环境压力下进行,通过所采用任何溶剂的沸点设定最大温度。聚合反应可在回流条件下进行。不用催化剂或通过采用碱性或尤其是酸性催化剂聚合本发明单体是可能的。
本发明有机硅氧烷材料具有500-100000g/mol的(重均)分子量。分子量可在该范围的较低端(例如500-10000g/mol,或更优选500-8000g/mol)或者有机硅氧烷材料可具有在该范围较高端(例如10000-100000g/mol或更优选15000-50000g/mol)的分子量。可合乎需要地混合具有较低分子量的聚合物有机硅氧烷材料与具有较高分子量的有机硅氧烷材料。
我们已经发现通过均聚合包含线形或分支连接体基团的式I单体可得到合适的聚合物组合物。
然而,通过共聚合具有式I的第一单体(其中R3表示第一烃基残基)与具有式I的第二单体(其中R3表示第二烃基残基)也能够得到合适的组合物,所述第一和第二烃基残基是不同的。这将允许调节组合物机械和电性能。所公开的实施方案包括选择共聚合具有式I的第一单体(其中R3表示线形烃基残基)与具有式I的第二单体(其中R3表示分支烃基残基),第一单体与第二单体的摩尔比为95∶5-5∶95,尤其是90∶10-10∶90,优选80∶20-20∶80。另一个供选方案是共聚合具有式I的第一单体(其中R3表示亚甲基)与具有式I的第二单体(其中R3表示具有至少2个,优选2-6个碳原子的烃基残基)。在该供选方案中,第一单体与第二单体的摩尔比为50∶50-0.1∶99.9,尤其是30∶80-1∶99,优选20∶80-5∶95。优选地,第二单体包括选自亚乙基、亚丙基、亚异丙基和亚正-、异-和叔丁基的烃基残基。共聚合两种不同类型式I单体的优点将在以下得到更详细讨论。
如自以下显而易见的,通过聚合1-(三甲氧基甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷、2,2,4,4,4-五氯-2,4-二硅杂丁烷(disilabutane)或2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷可得到具有优良性能的薄膜。
通过共聚合1-(三氯甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷和2,2,4,4,4-五氯-2,4-二硅杂丁烷或1-(三甲氧基甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷与2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷可得到其它有趣的材料。
按照一个优选的实施方案,为了改变性能,将沉积到半导体装置衬底上的硅氧烷材料加热以引起进一步交联,从而得到在加热后具有少于10%,优选少于5%,尤其少于2%的收缩率和大于425℃的热稳定性的薄膜。
本发明聚合物能够形成低介电膜,所述膜在受到热处理后介电常数为3.0或更少、尤其是2.9或更少、优选约2.5-1.9,杨氏模量为至少8.0,优选10.0Gpa或更多,孔隙率为5%或更少,开裂阈值为2um或更多。而且自采用多硅烷组分的聚合物形成的薄膜在使薄膜于450℃或更少下受到热处理1小时或更少后在高达500℃或更高的温度下于半导体结构上保持稳定。
如以上提及的那样,本发明也提供了制备集成电路装置的方法。这样的方法通常包括以下步骤:
在半导体衬底上形成许多晶体管;
通过以下步骤形成多层互连:
沉积金属层;
图案化金属层;
沉积具有第一模量和第一k值的第一介电材料;
沉积具有高于第一材料第一模量的第二模量和具有低于第一材料第一k值的k值的第二介电材料;和
图案化第一和第二介电材料,并将通路填充金属材料沉积到图案化区域。
用于第一介电层的本发明材料优选为有机硅氧烷材料,它具有含键合于主链上的第一有机取代基的重复-M-O-M-O-主链,材料具有500-100000g/mol的分子量,其中M为硅,O为氧。分子量为1500-30000g/mol,它优选地呈现一种或几种以下性能:
k值为3.0或更少,
CTE为25ppm或更少,和
密度为1.2g/cm3或更多。
第一介电材料可为具有碳∶硅比例为1.5∶1或更多的有机硅氧烷材料。
按照另一个实施方案,本发明提供了用于制备集成电路装置的方法,所述方法包括以下步骤:
在衬底上形成晶体管;
沉积电绝缘或导电材料的一种;
图案化所述电绝缘或导电材料的一种;
沉积电绝缘或导电材料的另一种以在所述晶体管上形成具有电绝缘和导电部分两者的层;
其中电绝缘材料的碳∶硅比例为1.5∶1或更多。
由于优良的平面化性能,可进行图案化步骤而不进行预先的化学机械平面化步骤。或者,通过对第二介电材料实施化学机械平面化除去第二介电材料总厚度的45%或更少。
通过在由第一溶剂形成的液体介质中聚合式I的单体形成包含硅氧烷材料的水解产物;在衬底上沉积水解产物为薄层;并固化薄层形成具有0.01-10um厚度的薄膜,可沉积有机硅氧烷材料。
尽管介电材料之一包括本发明材料,另一种材料可为已知的有机、无机或有机/无机材料,例如在以上描述的引言部分中讨论的种类。
通常,有机硅氧烷材料为旋涂材料。
有机硅氧烷材料为有机-无机的并具有12-20ppm的热膨胀系数。它可具有2.7或更少的介电常数。
另外,有机硅氧烷材料具有3.0或更少的介电常数。所沉积的有机硅氧烷材料的玻璃化转变温度为400℃或更高,优选450℃或更高,尤其是500℃或更高。
优选的是有机硅氧烷层具有2.5或更少的介电常数。模量为8.0GPa或更多,优选10.0GPa或更多。密度为1.2g/cm3或更多,优选1.45g/cm3或更多,尤其是1.65g/cm3或更多。
在本发明集成电路装置中,导电区通常包含铝或铜。
本发明的进一步细节将结合以下工作实施例得到讨论。
                        试验
实施例1
将乙烯基三氯硅烷以142.2g(0.880mol)的量和5ml(5.55g,0.048mol)甲基二氯硅烷加入到500ml容器中。将溶液加热至80℃并加入15μl H2PtCl6/IPA催化剂溶液。立即观察到放热反应并关掉加热。在30分钟内以~20ml份加入剩余的甲基二氯硅烷以致于溶液温度不升高超过130℃。甲基二氯硅烷的总量为104.2g(0.906mol,2.9%过量)。将溶液再次加热至110℃并搅拌1小时。蒸馏得到的溶液。B.p.为77℃/10mbar,产率为188g(77%)。产物1-(三氯甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷用GC、13C和29Si NMR分析。
将所合成的分子1-(三氯甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷(25.00g,0.090mol)称重并溶于100ml甲基叔丁基醚(MTBE)中。将溶液一滴一滴地转移到含有100ml水和100ml MTBE的溶液中。加入期间,溶液剧烈混合并且溶液温度为-1...-4℃。加入时间为20分钟。加入后,溶液在室温下混合20分钟。
将MTBE相分离并除去。用25ml MTBE提取水相2次。用100ml水(pH7)提取MTBE相4次,然后过滤。用旋转蒸发器(40℃,<10mbar)并最后用高真空(RT,1mbar,h)将得到的溶液干燥至干。结果,得到12.6g低分子量材料。重均分子量为7650g/mol,分子量分布为1.28。
使材料溶于含有0.146g三乙胺的50g MTBE中。使溶液回流60分钟并冷却至室温。然后,加入20g的2%HCl溶液并搅拌30分钟。使MTBE相分离并除去。用20ml水(pH7)提取溶液3次。接着,用旋转蒸发器(40℃,<10mbar)并最后用高真空(RT,1mbar,1h)将溶液蒸发至干。得到10.9g聚合物(理论产率87%)。重均分子量为15400g/mol,分子量分布为1.78。
在此描述的本发明的聚合物合成和聚合方法不限于以上提及的溶剂、催化剂和方法条件,而且可使用类似的溶剂、催化剂和加工条件。然而,在本发明中重要的是能够以良好产率自单一桥联多甲硅烷基单体合成和聚合最终产物而除了任选的异构单体以外不使用任何共聚单体,所述异构单体在连接基团中具有相等的碳数,即使不在将硅原子连接在一起的链中。
比较实施例I
将三氯甲硅烷基甲烷(25.00g,0.167mol)称重并溶于100ml甲基叔丁基醚(MTBE)中。将溶液一滴一滴地转移到由150ml水和100mlMTBE形成的溶液中。加入期间,溶液剧烈混合并且溶液温度保持在-1...0℃。加入时间为10分钟。加入后,溶液在室温下混合20分钟。
将MTBE相分离并除去。用50ml MTBE提取水相2次。用100ml水(pH7)提取MTBE相4次,然后过滤。用旋转蒸发器(40℃,<10mbar)并最后用高真空(RT,1mbar,h)将溶液干燥至干。得到11.3g低分子量材料。重均分子量为4400g/mol,分子量分布为1.08。
使材料溶于含有0.045g三乙胺的44.7g MTBE中。使溶液回流45分钟并冷却至室温。加入22.4g的2%HCl溶液并搅拌30分钟。使MTBE相分离并除去。用20ml水(pH7)提取溶液3次。用旋转蒸发器(40℃,<10mbar)并最后用高真空(RT,1mbar,1h)将溶液干燥至干。得到10.3g聚合物(理论产率92%)。重均分子量为6600g/mol,分子量分布为1.27。
然后使两个实施例产物(实施例I和比较实施例I)以各种浓度溶于丙二醇单甲醚乙酸酯(PGMEA)中,一旦旋涂到硅晶片上得到不同的公称厚度。仍然常见的稀释浓度为相对于最终聚合物固体含量约400%重量的PGMEA溶剂。另外,也有其它溶剂可用作加工溶剂或加工共溶剂例如均三甲苯和GPL,但是不限于这些。通常,在溶剂浓缩配制后过滤材料以自旋涂(spin-on)材料除去颗粒。而且在旋涂加工前可加入表面活性剂和润湿剂。通过改变固体含量和溶剂粘度(即溶剂类型),通过用旋涂沉积方法加工材料,使它们受到预烘固化和高温退火步骤能够得到以上提及材料的各种厚度。对于材料的薄膜水平特征,当受到标准加工条件即2000rpm旋转速度、150℃预烘步骤5分钟和在450℃下高温固化1小时,薄膜厚度总是超过600nm。然而,在本发明中,本发明的材料不限于这些方法条件。
实施例2
本发明也包括1-(三氯甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷的异构体。将甲基二氯硅烷(14.9g,0.130mol)、乙烯基三氯硅烷(21.0g,0.130mol)和100mg四(三苯基膦)合钯置于玻璃压力管中并加热至105℃。~20分钟后,发生剧烈放热反应并且溶液变暗。在74℃/5mbar下蒸馏内容物,得到32.3g(0.117mol,90%)。经GC测定,纯度为>99%。生成的单体为1-(甲基二氯甲硅烷基)-1-(三氯甲硅烷基)乙烷。
实施例3-用于聚合物的供选方法
实施例1和2描述了两种单体即1-(三氯甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷和1-(甲基二氯甲硅烷基)-1-(三氯甲硅烷基)乙烷的合成,它们可用作聚合物的前体。
Figure A20058003625500231
这些异构体化合物,其中之一包含线形连接体链,另一个包含分支连接体链,可以任何比例使用以形成本发明新电介质硅氧烷聚合物。因此,包含线形连接体分子的单体相对于包含分支连接体分子的相应单体可以1∶100-100∶1,优选80∶20-20∶80,尤其是60∶40-40∶60的摩尔比使用。
实施例4-供选前体和聚合物
2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷可用作本发明中的供选前体。它可以单独使用或与以上提及的1-(三氯甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷和1-(甲基二氯甲硅烷基)-1-(三氯甲硅烷基)乙烷前体一起使用。即使2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷不为在实施例1和2中说明的前体的异构体,它可以类似方式在聚合反应中起作用并因此当以2,2,4,4,4-五氯-2,4-二硅杂丁烷形式存在时可与在实施例1和2中说明的单体共聚合。另外,它可作为醇化物衍生物单独或与实施例1和实施例2中烷氧基形式的前体一起均聚合或共聚合。以下为用于2,2,4,4,4-五氯-2,4-二硅杂丁烷和2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷的合成途径。
Figure A20058003625500232
将55.10g(0.337mol)(ClCH2)CH3SiCl2、132.13g(0.975mol)HSiCl3和7.45g(0.025mol)Bu4PCl加入到不锈钢压力反应器中。将反应器加热至180℃反应30分钟。当不再观察到压力形成时使反应器冷却至室温。蒸馏溶液,得到58.85g(66.5%)MeCl2Si-CH2-SiCl3。B.p.62℃/10mbar。将58.85g(0.224mol)2,2,4,4,4-五氯-2,4-二硅杂丁烷以小份加入到含有120.0g(1.131mol)原甲酸三甲酯的圆底烧瓶中。加入50mg作为催化剂的氯化四丁基并在70℃下搅拌溶液。反应48小时后,如通过将一小份样品与保持中性的蒸馏水振摇观察到的没有剩余Si-Cl键。产物经蒸馏纯化,b.p.80/2mbar。
具体地讲,2,2,4,4,4-五氯-2,4-二硅杂丁烷和2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷可用于对介电基质产生进一步的刚度。因此,如果对介电组合物要求另外的机械刚度例如模量和硬度,那么优选的是共聚合2,2,4,4,4-五氯-2,4-二硅杂丁烷或2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷与其它式I前体,例如与(但不限于)实施例I的1-(三氯甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷。2,2,4,4,4-五氯-2,4-二硅杂丁烷或2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷的浓度通常可为5-95%摩尔,但是更优选少于35%摩尔。由于2,2,4,4,4-五氯-2,4-二硅杂丁烷和2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷的活性基团的相似性和数目以及碳硅烷性质,它们可很好地共聚合在一起并得到非常均匀的聚合物。
例如以如下方式可进行1,1,1,3,3-五甲氧基-1,3-二硅杂丁烷的聚合反应。将1,1,1,3,3-五甲氧基-1,3-二硅杂丁烷(20g,0.083mol)和丙酮(130mL)置于250mL圆底烧瓶中。然后加入0.018M硝酸(20mL,1.110mol)并在室温下搅拌烧瓶1小时,之后回流48小时,随后于室温下再反应72小时。加入蒸馏水(50mL)并减压蒸发溶剂直到溶液的固体含量为25%,得到聚合物-水溶液~45mL。
                        材料特性
如上在衬底上加工和形成的材料经试验以测定所沉积和完全固化即交联材料的各种特性。也测量材料性能例如开裂阈值、介电常数、杨氏模量和硬度、热稳定性、孔隙率和孔径大小。
本发明介电材料可作为10nm至高达10um(或更多)的非常薄的层沉积。通常,材料以0.5-3um,优选1-5um的厚度沉积,尽管厚度取决于材料的实际用途。通过经分子量控制材料粘度、溶剂含量和旋转速度(如果经旋涂沉积)可控制沉积层厚度。通过调节沉积溶液和转子(如果旋涂沉积)两者的沉积温度也可控制材料厚度。而且,通过选择溶剂调节溶剂蒸气压和沸点可影响所沉积材料的厚度。旋涂、喷涂、浸涂、液面涂布、丝网印刷和“刮涂”法可用于得到变化厚度的薄膜。用具有高达3um不同厚度(在固化后测量)的旋转沉积薄膜研究开裂阈值,在固化(该情况中450℃下1小时)后没有观察到本发明聚合物材料裂纹。
致密化材料的另外性能包括至少1.2g/cm3,优选1.45g/cm3或更多、1.60g/cm3或更多或者甚至1.75g/cm3或更多的密度。最终材料具有高于200℃,尤其是400℃或更多,尤其是500℃或更多的玻璃化转变温度。玻璃化转变温度(并且当然地分解温度)在材料完全固化后应高于半导体衬底此后的加工温度。同时,介电常数适当地低-易于为3.0或更少,更通常为2.9或更少或甚至为2.5或更少。另外,有机硅氧烷材料在形成后具有12-22ppm,通常15-20ppm的热膨胀系数。
聚合物有机硅氧烷材料可例如通过旋涂、喷涂、浸涂等适当沉积。作为薄膜的新聚合物的CTE少于25*10-6l/degC。材料可表征为完全致密材料,这在本文中尤其指孔隙率低,通常少于10%,优选少于5%,尤其是少于3%(体积),并且平均孔径少于3nm,优选少于2nm,尤其是少于1nm。在本发明中,用VASE(variable anglesolvent ellipsometry(可变角度溶剂椭圆光度法))采用甲苯作为测试溶剂和PALS(positronium annihilation life-time spectroscopy(正电子素湮没寿命光谱))试验孔隙率和孔径。
另外,完全致密介电材料可受到退火或类似的预处理或后处理加热至第二温度即实际固化温度。例如通过其中材料受到UV辐射、DUV辐射、极度UV辐射、IR辐射、电子束辐射、快速热退火或其组合的方法实施预处理或后处理。然后经处理的薄膜可在空气、氮、氩、形成气体或真空下于升高的温度下受到固化。然而,在本发明中,在实施例I中说明的聚合物不需要任何另外的处理以产生在表I中概述的性能,但是这些另外的处理可稍微考虑以进一步增强聚合物的薄膜水平性能。
退火和固化(致密化,交联)材料可受到选自金属、阻挡层、衬里或另外电介质层的第二层沉积。
通过总是来自于厚度厚于600nm薄膜的纳米压痕(用MTSnanointender)试验机械性能、杨氏模量和硬度。本发明桥联聚有机硅氧烷型材料的杨氏模量为8.0GPa或更多,优选10.0GPa或更多。本发明聚有机硅氧烷材料的膜硬度为1.0GPa或更多,更优选1.5GPa或更多。
通过在半导体衬底的狭窄高长径比部件的顶部涂布在实施例I中说明的聚合物并使聚合物受到预烘和高温处理,分别为150℃5分钟和450℃60分钟,也试验材料的空隙填充。低至35nm伴随长径比高达10∶1或更多的狭窄空隙结构被用于这些试验并观察到完全空隙填充。而且,在空隙的顶部和底部之间没有得到密度差异。以类似的方式,在隔离和致密的半导体结构上试验局部和全局平面性。观察到局部平面性为95%或更好,全局平面性为70%或更好。
材料收缩率(尤其是在加热以促进交联后)为少于5%,尤其是少于2%。
采用HP LCR计和具有半导体电测试探针台的半导体分析仪自MIS(金属绝缘体半导体)结构试验电性能。
在本发明实施例I中描述的聚合物在高温固化后也不含水和甲硅烷醇,通过薄膜水平FT-IR光谱得到证实。如在图1中显示的,FT-IR谱在其中可观察到水和甲硅烷醇吸收峰的约3200cm-1-3800cm-1不含吸收峰,因此证明薄膜不含甲硅烷醇。
本发明材料(实施例I)和比较实施例I常规材料的性能显示在表1中。
                       表1
    实施例I     比较实施例I
杨氏模量硬度介电常数(k)孔隙率孔径(直径)开裂阈值     10.5GPa1.5GPa2.871.5%±1.5%0.9nm3000nm     4.8GPa0.6GPa2.8010%±2%1.5nm1000nm
应该指出,如果按照式I的本发明前体与比较实施例I的前体或类似的烷氧基衍生物共聚合,那么将不能得到类似于表1中实施例I的材料性能,而是显著劣质。因此,优选的是共聚合式I前体和如在实施例1-4中说明的。
                高温加工
因为本发明材料在很高温度下稳定,它们尤其适合于高温加工。通常,材料可暴露于450℃或更高或者500℃或更高的温度而不降解。因此,在沉积和固化后,可在450℃或更高(或者甚至500℃或更高)的温度下实施一个或更多个以下方法步骤。作为一个实例,替代钨通路,在沉积本发明硅氧烷材料后可实施热铝(也称作“铝回流法”)通路填充。再作为一个实例,通常,材料在这些甚至可能发生在500℃或更高下相对短的时间的以下方法步骤需要保持不变,即使在这些以下方法步骤前在450℃或更低下发生材料实际固化。
在本发明钨通路方法中,如在图2a中可见的,在沉积铝层后,铝被图案化在铝层中形成“空隙”。向这些空隙中沉积二氧化硅(经CVD),随后沉积硅氧烷(SO)材料以填充空隙。在硅氧烷材料上沉积另外的二氧化硅,随后进行化学机械平面化(CMP)。通过光刻法和蚀刻下至TiNx停止在铝层上在该二氧化硅层中形成通路。灰化后,湿法净化和脱气,在通路“空隙”中沉积Ti/TiNx阻挡层(这也可为SiOx),随后沉积钨(在300℃下自WF6前体的钨CVD)。最后在进行下一个金属层前将钨层进行化学机械平面化。
尽管由于与钨相比较铝的成本较低和对CMP步骤的较低需要,这是一种合适的用于在此公开材料的方法,有时优选的是自铝形成钨通路,尽管在通路中用铝达到均匀填充要求“热铝”步骤,如果要求,通常在450℃或更高,或者甚至500℃或更高下沉积铝。在这样的热铝法中,如在图2b中可见的,首先沉积和图案化下部铝和TiNx(ARC)层以形成“空隙”。向这些空隙中首先沉积SiOx阻挡层,随后为本发明硅氧烷材料。本发明旋涂介电硅氧烷材料(SOD)不仅沉积在铝层(例如约500nm厚Al层)中的图案化空隙中,而且沉积在铝层上(例如300nm更高)。在SOD材料(这也可经CVD沉积)的顶部经CVD沉积SiOx层(更具体地讲,这可为TEOS-原硅酸四乙基酯/四乙氧基硅)。不进行化学机械平面化步骤(或者经平面化除去该SiOx/TEOS层厚度的45%或更少,或者通常35%或者甚至25%或更少),进行通路光刻法以形成通路直到铝层。灰化后,湿法净化和脱气,沉积阻挡层(例如Ti/TiNx),随后在450℃或更高,通常500℃或更高的温度下沉积热铝。在进行下一个金属层前将铝进行化学机械平面化。合乎需要地,本发明硅氧烷材料的k值或模量没有可检测的变化(或者没有影响硅氧烷材料用于这样方法的能力的实质变化),硅氧烷材料也不释气,即使暴露于450℃或更高,或者500℃或更高的温度(或者甚至525℃或更高,取决于这样暴露的时间长度)。
提供上述本发明优选实施方案用于举例说明和描述的目的。它不打算穷举或限制本发明于所公开的准确形式。显然,许多修改和变化对本领域专业人员是显而易见的。打算通过以下权利要求和它们的等价物定义本发明范围。
由于本发明材料的热稳定性和优良的空隙填充,它也可用作PMD(pre-metal dielectric(前金属电介质))和STI(Shallow Trench Isolation(浅沟槽隔离))应用中的介电材料。用于这些应用的电介质通常用HD-CVD(高密度化学气相沉积)方法沉积。然而,HDP-CVD不能对PMD和STI应用中特别需要的很窄和高长径比结构进行良好和均匀的空隙填充。因此,如先前说明的本发明的材料在性能和成本方面具有超过传统方法的有竞争力的优点。

Claims (42)

1.一种薄膜,所述薄膜包含通过聚合具有式I的单体以形成硅氧烷材料得到的组合物:
Figure A2005800362550002C1
其中:
R1为可水解基团,
R2为极化性减小有机基团,和
R3为桥联线形或分支烃基残基。
2.权利要求1的薄膜,其中R1选自卤基、烷氧基和酰氧基,R2选自烷基、链烯基和芳基,R3选自线形和分支亚烷基、亚链烯基和亚炔基。
3.权利要求1的薄膜,其中组合物包含交联聚有机硅氧烷。
4.权利要求1-3中任一项的薄膜,其中组合物基本上通过具有式I的单体均聚合得到。
5.权利要求1-3中任一项的薄膜,其中组合物通过共聚合具有式I的其中R3代表第一烃基残基的第一单体与具有式I的其中R3代表第二烃基残基的第二单体得到,所述第一和第二烃基残基为不同的。
6.权利要求5的薄膜,其中组合物通过共聚合具有式I的其中R3代表线形烃基残基的第一单体与具有式I的其中R3代表分支烃基残基的第二单体得到,第一单体与第二单体的摩尔比为95∶5-5∶95,尤其是90∶10-10∶90,优选80∶20-20∶80。
7.权利要求5的薄膜,其中组合物通过共聚合具有式I的其中R3代表亚甲基的第一单体与具有式I的其中R3代表具有至少2个,优选2-6个碳原子的烃基残基的第二单体得到。
8.权利要求7的薄膜,其中第一单体与第二单体的摩尔比为50∶50-0.1∶99.9,尤其是30∶80-1∶99,优选20∶80-5∶95。
9.权利要求7或8的薄膜,其中第二单体包含选自亚乙基、亚丙基、亚异丙基和亚正-、异-和叔-丁基的烃基残基。
10.前述权利要求中任一项的薄膜,所述薄膜包含具有0.01-50um,尤其是0.5-5um,优选1-3um厚度的聚有机硅氧烷固化薄层。
11.前述权利要求中任一项的薄膜,所述薄膜具有至少1.2g/cm3,优选1.45g/cm3或更多,更优选1.60g/cm3或更多,尤其是高达约2.5g/cm3的密度。
12.前述权利要求中任一项的薄膜,所述薄膜具有以下性能中任何一种或两种:
高于200℃,尤其是400℃或更高,尤其是500℃或更高的玻璃化转变温度,和
3.5或更少,尤其是2.9或更少,优选约2.5-1.9的介电常数。
13.前述权利要求中任一项的薄膜,所述薄膜具有12-22ppm,优选约15-20ppm的热膨胀系数。
14.前述权利要求中任一项的薄膜,所述薄膜包含具有500-100000g/mol(重均)分子量的有机硅氧烷材料。
15.前述权利要求中任一项的薄膜,所述薄膜包含通过聚合1-(三氯甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷得到的材料。
16.权利要求1-14中任一项的薄膜,所述薄膜包含通过聚合1-(三甲氧基甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷、2,2,4,4,4-五氯-2,4-二硅杂丁烷或2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷得到的材料。
17.权利要求1-14中任一项的薄膜,所述薄膜包含通过共聚合1-(三氯甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷和2,2,4,4,4-五氯-2,4-二硅杂丁烷,或1-(三甲氧基甲硅烷基)-2-(甲基二氯甲硅烷基)乙烷和2,2,4,4,4-五甲氧基-2,4-二硅杂丁烷得到的材料。
18.一种包含低k电介质膜的物体,所述膜包含权利要求1-17中任一项的材料。
19.一种形成具有3.0或更少介电常数的薄膜的方法,所述方法包括:
均聚合具有式I的单体以形成硅氧烷材料:
Figure A2005800362550004C1
其中:
R1为可水解基团,
R2为极化性减小有机基团,和
R3为线形或分支桥联烃基;
以薄层形式沉积硅氧烷材料;和
固化薄层形成膜。
20.权利要求19的方法,所述方法包括
在由第一溶剂形成的液体介质中均聚合具有式I的单体或共聚合其异构体形成包含硅氧烷材料的水解产物;
在衬底上作为薄层沉积水解产物;和
固化薄层形成具有0.01-10um厚度的薄膜。
21.权利要求19或20的方法,所述方法包括
在由第一溶剂形成的液体介质中均聚合具有式I的单体或共聚合其异构体形成包含硅氧烷材料的水解产物;
回收水解产物;
混合水解产物与第二溶剂形成溶液;
在衬底上涂布溶液;
除去第二溶剂以在衬底上作为薄层沉积水解产物;和
固化薄层形成具有0.01-10um厚度的薄膜。
22.权利要求19-21中任一项的方法,所述方法包括实施均聚合形成聚合产物的步骤和在50-425℃的温度下固化水解产物的步骤。
23.权利要求19-22中任一项的方法,所述方法包括
在半导体装置衬底上沉积硅氧烷材料;和
图案化硅氧烷材料形成半导体装置中的电介质。
24.权利要求23的方法,所述方法包括
通过在所选择的区域除去硅氧烷材料图案化硅氧烷材料;和
在所选择的区域沉积导电材料。
25.权利要求24的方法,其中在沉积导电材料之前在所选择的区域沉积阻挡层。
26.权利要求24的方法,其中在所选择的区域沉积导电材料而没有阻挡层,其中导电材料包含铝或铜。
27.权利要求19-26中任一项的方法,其中在半导体装置衬底上沉积硅氧烷材料,将硅氧烷材料加热以引起进一步交联,从而得到在加热后具有少于10%,优选少于5%,尤其是少于2%的收缩率和425℃或更高的热稳定性的膜。
28.一种用于制备集成电路装置的方法,所述方法包括:
在半导体衬底上形成许多晶体管;
通过以下步骤形成多层互连:
沉积金属层;
图案化金属层;
沉积第一介电材料;
沉积第二介电材料;
图案化第一和第二介电材料,将通路填充金属材料沉积到图案化区域;
其中第一介电材料为具有碳∶硅比例为1.5∶1或更多的有机硅氧烷材料。
29.一种用于制备集成电路装置的方法,所述方法包括:
在衬底上形成晶体管;
沉积电绝缘或导电材料的一种;
图案化所述电绝缘或导电材料的一种;
沉积电绝缘或导电材料的另一种以在所述晶体管上形成具有电绝缘和导电部分两者的层;
其中电绝缘材料具有碳∶硅比例为1.5∶1或更多。
30.权利要求28或29的方法,其中第一介电材料为有机硅氧烷硅氧烷材料。
31.权利要求28-30中任一项的方法,其中第一介电材料通过均聚合式I单体或共聚合其异构体得到。
32.一种按照权利要求28-31中任一项的方法制备的集成电路装置。
33.权利要求32的集成电路装置,其中有机硅氧烷材料为旋涂材料。
34.权利要求32或33的集成电路装置,其中有机硅氧烷材料为有机-无机的并具有12-20ppm的热膨胀系数。
35.权利要求32-34中任一项的集成电路装置,其中有机硅氧烷材料具有3.0或更少的介电常数。
36.权利要求32-35中任一项的集成电路装置,其中所沉积的有机硅氧烷材料具有400℃或更高,优选450℃或更高,尤其是500℃或更高的玻璃化转变温度。
37.权利要求32-36中任一项的集成电路装置,其中有机硅氧烷层具有2.5或更少的介电常数。
38.权利要求32-37中任一项的集成电路装置,其中有机硅氧烷材料具有含键合于主链上的第一有机取代基的重复-M-O-M-O-主链,所述材料具有500-100000g/mol的分子量,其中M为硅,O为氧。
39.权利要求32-38中任一项的集成电路装置,其中分子量为1500-30000g/mol。
40.权利要求32-39中任一项的集成电路装置,其中有机硅氧烷材料具有8.0GPa或更多,优选10.0GPa或更多的模量。
41.权利要求32-40中任一项的集成电路装置,其中有机硅氧烷具有1.2g/cm3或更多,优选1.45g/cm3或更多,尤其是1.65g/cm3或更多的密度。
42.权利要求32-31中任一项的集成电路装置,其中导电区域包含铝或铜。
CN2005800362552A 2004-08-31 2005-08-31 新聚有机硅氧烷介电材料 Expired - Fee Related CN101044604B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US60555304P 2004-08-31 2004-08-31
US60/605,553 2004-08-31
US64430405P 2005-01-18 2005-01-18
US60/644,304 2005-01-18
PCT/FI2005/000373 WO2006024693A1 (en) 2004-08-31 2005-08-31 Novel polyorganosiloxane dielectric materials

Publications (2)

Publication Number Publication Date
CN101044604A true CN101044604A (zh) 2007-09-26
CN101044604B CN101044604B (zh) 2011-11-16

Family

ID=35999735

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800362552A Expired - Fee Related CN101044604B (zh) 2004-08-31 2005-08-31 新聚有机硅氧烷介电材料

Country Status (6)

Country Link
US (2) US7504470B2 (zh)
EP (1) EP1787319A4 (zh)
JP (1) JP2008511711A (zh)
KR (1) KR101222428B1 (zh)
CN (1) CN101044604B (zh)
WO (1) WO2006024693A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103319204A (zh) * 2013-06-05 2013-09-25 中国科学院上海硅酸盐研究所 桥联硅氧烷作为陶质文物加固剂的应用及加固方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006134206A2 (en) * 2005-06-13 2006-12-21 Silecs Oy Functionalized silane monomers with bridging hydrocarbon group and siloxane polymers of the same
US20070284687A1 (en) * 2006-06-13 2007-12-13 Rantala Juha T Semiconductor optoelectronics devices
JP2010513587A (ja) * 2006-12-13 2010-04-30 シレクス オサケユキチュア 新規ナノ粒子含有シロキサン重合体
TWI434891B (zh) * 2007-02-22 2014-04-21 Silecs Oy 積體電路用高矽含量矽氧烷聚合物
JP2008222857A (ja) * 2007-03-13 2008-09-25 Jsr Corp 絶縁膜形成用組成物、ならびにシリカ系膜およびその形成方法
WO2009060125A1 (en) * 2007-11-06 2009-05-14 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
US8318244B2 (en) * 2008-01-30 2012-11-27 Dow Corning Corporation Use of glassy silicone-based hard coating as release coatings for printable electronics
WO2009119583A1 (ja) * 2008-03-26 2009-10-01 Jsr株式会社 化学気相成長法用材料ならびにケイ素含有絶縁膜およびその製造方法
US20110303284A1 (en) * 2010-06-09 2011-12-15 Miasole Glass barrier for diode assemblies
US10170297B2 (en) * 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
EP3040388B1 (en) 2014-12-31 2017-08-23 LG Display Co., Ltd. Touch sensitive device comprising electroactive film, display device comprising the same, and method of manufacturing the electroactive film
KR102431597B1 (ko) * 2014-12-31 2022-08-11 엘지디스플레이 주식회사 전기활성 필름을 포함하는 접촉 감응 소자, 이를 포함하는 표시 장치 및 전기활성 필름의 제조 방법
US10340182B2 (en) * 2015-11-30 2019-07-02 International Business Machines Corporation Enhanced via fill material and processing for dual damscene integration
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
CN111793361B (zh) * 2019-04-02 2023-03-24 四川大学 低介电常数硅橡胶复合薄膜及其制备方法、应用

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1427050A (fr) * 1964-01-29 1966-02-04 Dow Corning Procédé de préparation de polymères siloxanes à partir de silanes
US4504549A (en) * 1983-05-20 1985-03-12 Union Carbide Corporation Elastomeric silicone finishes applied to substrates and method of preparing same
JP2574403B2 (ja) * 1988-06-13 1997-01-22 富士通株式会社 有機ケイ素重合体及びその製法ならびにそれを使用した半導体装置
DE4006371A1 (de) * 1990-03-01 1991-09-05 Hoechst Ag Faserverstaerkte verbundwerkstoffe und verfahren zu ihrer herstellung
JPH0570772A (ja) * 1991-09-11 1993-03-23 Konica Corp 有機薄膜エレクトロルミネツセンス素子
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
KR940010290B1 (ko) * 1991-12-24 1994-10-22 한국과학기술연구원 비스실릴메탄 및 그들의 제조방법
KR940010291B1 (ko) * 1992-01-23 1994-10-22 한국과학기술연구원 비스실릴알칸 및 그들의 제조방법
JP2951524B2 (ja) * 1993-12-10 1999-09-20 財団法人韓国科学技術研究院 トリスシリルメタンの製造方法
JP2511244B2 (ja) * 1993-12-22 1996-06-26 財団法人韓国科学技術研究院 トリス(シリル)アルカンとその製造方法
JP4739473B2 (ja) * 1999-01-28 2011-08-03 日立化成工業株式会社 シリカ系被膜形成用塗布液、シリカ系被膜及びこれを用いた半導体装置
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
KR100306574B1 (ko) * 1999-04-13 2001-09-13 박호군 탈할로겐화수소 반응으로 유기할로겐 화합물에 실란을 결합시키는 방법
US6696538B2 (en) * 1999-07-27 2004-02-24 Lg Chemical Ltd. Semiconductor interlayer dielectric material and a semiconductor device using the same
JP4320855B2 (ja) * 1999-08-09 2009-08-26 Jsr株式会社 絶縁膜形成用組成物
US6410151B1 (en) * 1999-09-29 2002-06-25 Jsr Corporation Composition for film formation, method of film formation, and insulating film
JP4022802B2 (ja) * 1999-09-29 2007-12-19 Jsr株式会社 膜形成用組成物、膜の形成方法および絶縁膜
US6410150B1 (en) * 1999-09-29 2002-06-25 Jsr Corporation Composition for film formation, method of film formation, and insulating film
US6558747B2 (en) * 1999-09-29 2003-05-06 Kabushiki Kaisha Toshiba Method of forming insulating film and process for producing semiconductor device
JP4195773B2 (ja) * 2000-04-10 2008-12-10 Jsr株式会社 層間絶縁膜形成用組成物、層間絶縁膜の形成方法およびシリカ系層間絶縁膜
JP2001254052A (ja) * 2000-03-13 2001-09-18 Jsr Corp 膜形成用組成物、膜形成用組成物の形成方法およびシリカ系膜
US7128976B2 (en) * 2000-04-10 2006-10-31 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
JP2001308082A (ja) * 2000-04-20 2001-11-02 Nec Corp 液体有機原料の気化方法及び絶縁膜の成長方法
KR100383103B1 (ko) * 2000-04-28 2003-05-12 주식회사 엘지화학 저유전 절연재료의 제조방법
EP1150346B1 (en) * 2000-04-28 2011-12-28 LG Chem Investment, Ltd A process for preparing insulating material having low dielectric constant
JP3705122B2 (ja) * 2000-05-16 2005-10-12 Jsr株式会社 有機ケイ素系重合体の製造方法、膜形成用組成物、膜の形成方法およびシリカ系膜
US6465368B2 (en) * 2000-05-16 2002-10-15 Jsr Corporation Method of manufacturing insulating film-forming material, the insulating film-forming material, and insulating film
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
TW524883B (en) * 2000-05-22 2003-03-21 Jsr Corp Composition for film formation, process for producing composition for film formation, method of film formation, and silica-based film
US6737809B2 (en) * 2000-07-31 2004-05-18 Luxim Corporation Plasma lamp with dielectric waveguide
KR100453211B1 (ko) * 2000-11-01 2004-10-15 한국과학기술연구원 유기 실란의 제조방법
JP2002167438A (ja) * 2000-11-29 2002-06-11 Jsr Corp ケイ素ポリマー、膜形成用組成物および絶縁膜形成用材料
JP3588603B2 (ja) * 2000-12-15 2004-11-17 株式会社東芝 絶縁膜の形成方法および半導体装置の製造方法
WO2002068752A2 (en) * 2001-02-22 2002-09-06 Crompton Corporation Water repellent textile finishes and method of making
JP2002285086A (ja) * 2001-03-26 2002-10-03 Jsr Corp 膜形成用組成物、膜の形成方法およびシリカ系膜
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
JP4513249B2 (ja) * 2001-09-28 2010-07-28 Jsr株式会社 銅ダマシン構造の製造方法
DE60217247T2 (de) * 2001-09-28 2007-10-04 Jsr Corp. Gestapelte Schicht, isolierender Film und Substrate für Halbleiter
KR100985272B1 (ko) * 2002-01-17 2010-10-04 질렉스 오와이 집적 회로에 적용하기 위한 혼성 유기-무기 유전체를 위한폴리(유기실록산) 물질 및 방법
TW200303846A (en) * 2002-02-06 2003-09-16 Asahi Chemical Ind Coating compositions for forming insulating thin films
JP4424892B2 (ja) * 2002-08-06 2010-03-03 旭化成株式会社 絶縁性薄膜製造用塗布組成物
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
KR100506695B1 (ko) * 2003-06-02 2005-08-08 삼성전자주식회사 실록산계 수지 및 이를 이용한 반도체 층간 절연막
JP2005139265A (ja) * 2003-11-05 2005-06-02 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
JP4535280B2 (ja) * 2004-07-09 2010-09-01 Jsr株式会社 有機シリカ系膜の形成方法
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103319204A (zh) * 2013-06-05 2013-09-25 中国科学院上海硅酸盐研究所 桥联硅氧烷作为陶质文物加固剂的应用及加固方法

Also Published As

Publication number Publication date
KR101222428B1 (ko) 2013-01-15
JP2008511711A (ja) 2008-04-17
WO2006024693A1 (en) 2006-03-09
EP1787319A1 (en) 2007-05-23
US20060058487A1 (en) 2006-03-16
US7504470B2 (en) 2009-03-17
CN101044604B (zh) 2011-11-16
KR20070054705A (ko) 2007-05-29
EP1787319A4 (en) 2011-06-29
US20100317179A1 (en) 2010-12-16

Similar Documents

Publication Publication Date Title
CN101044604A (zh) 新聚有机硅氧烷介电材料
EP1891146B1 (en) Organo functionalized silane monomers and siloxane polymers of the same
KR101596358B1 (ko) 폴리머층을 구비한 반도체 광전자 디바이스
US6177143B1 (en) Electron beam treatment of siloxane resins
EP2091999B1 (en) Novel nanoparticle containing siloxane polymers
CN1122078C (zh) 低介电树脂组合物和由其制成的制品
CN1616468A (zh) 多官能环状硅氧烷化合物和由该化合物制备的硅氧烷基聚合物和用该聚合物制备介电薄膜的方法
CN1369111A (zh) 电子器件中有机介电薄膜集成化时使用硅氧烷介电薄膜的工艺
JP2000510520A (ja) 高有機含量のオルガノヒドリドシロキサン樹脂
CN1657530A (zh) 硅氧烷化合物及其聚合物和用该聚合物制备介电膜的方法
CN1646605A (zh) 有机硅酸盐聚合物和包含该有机硅酸盐聚合物的绝缘薄膜
WO2004030070A1 (ja) ボラジン系樹脂及びその製造方法、ボラジン系樹脂組成物、絶縁被膜及びその形成方法、絶縁被膜を備えた電子部品
CN1463279A (zh) 有机硅酸酯聚合物和从其得到的绝缘膜
US7514709B2 (en) Organo-silsesquioxane polymers for forming low-k dielectrics
JP2004307692A (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置
KR20060120098A (ko) 에칭 스톱퍼 층 형성용 조성물
JP2004292767A (ja) 絶縁膜形成材料及びそれを用いた絶縁膜
TWI473255B (zh) 半導體光電裝置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111116

Termination date: 20140831

EXPY Termination of patent right or utility model