WO2018215452A1 - Procede pour l'assemblage de copolymeres a blocs par controle de l'energie de surface d'un materiau - Google Patents

Procede pour l'assemblage de copolymeres a blocs par controle de l'energie de surface d'un materiau Download PDF

Info

Publication number
WO2018215452A1
WO2018215452A1 PCT/EP2018/063364 EP2018063364W WO2018215452A1 WO 2018215452 A1 WO2018215452 A1 WO 2018215452A1 EP 2018063364 W EP2018063364 W EP 2018063364W WO 2018215452 A1 WO2018215452 A1 WO 2018215452A1
Authority
WO
WIPO (PCT)
Prior art keywords
block copolymer
pattern
epitaxy
chemical
plasma
Prior art date
Application number
PCT/EP2018/063364
Other languages
English (en)
French (fr)
Inventor
Xavier CHEVALIER
Original Assignee
Arkema France
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Arkema France filed Critical Arkema France
Publication of WO2018215452A1 publication Critical patent/WO2018215452A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal

Definitions

  • the present invention relates to a method for assembling block copolymers on a grapho-epitaxial or chemically-epitaxial functionalized guide pattern by controlling the surface energy of a material.
  • a block copolymer is a polymer composed of several blocks of monomers, for example two blocks of monomers A and B, of different chemical natures, connected to each other by a covalent bond.
  • the chains are given sufficient mobility, for example by thermal annealing on the BCP, the A chain and the B chain tend to separate in phase and rearrange spontaneously ("self-assembly") in the space for forming organized structures, such as for example a two or three dimensional network having spheres of A in a matrix of B, or cylinders of A in a matrix of B, or lamellae of A and lamellae of B interspersed.
  • Block copolymers therefore have the property of forming patterns whose geometry / morphology can be controlled by the ratio between the different monomers.
  • the periodicity of the units formed by the block copolymers is directly related inter alia to the molar mass of the block copolymers, so that by controlling this molar mass, we can control the resolution of the patterns they form.
  • the grapho-epitaxy methods generally consist of making guide patterns on the surface of a substrate, these guiding patterns defining cavities within which the block copolymers will be deposited to form secondary patterns of better resolution.
  • the methods of chemistry epitaxy themselves use a contrast of chemical affinity between a pre ⁇ pattern drawn on the substrate and the different blocks of PCO, said pattern can be regarded as having no topology, unlike the grapho totaxie (a A pattern with high affinity for only one of the PCO blocks is pre-drawn in a sub-layer allowing the perpendicular orientation of the PCO, while the rest of the surface does not show any particular affinity for the PCO blocks).
  • the orientation of the secondary units with respect to the guiding units depends on the specific interactions of the monomer blocks of the block copolymer with the surfaces of the guiding pattern, with those of the substrate and with the air. If the guide has a preferential affinity with one of the phases of the block copolymer at the bottom and on the flanks of the guide cavity, then this phase will self-organize at the interface with the guide. Thus the area that should be removed preferentially during the revelation step will not cross the entire height of the guide pattern and a residual layer of the other phase of the polymer will form at the interface with the substrate. This will be a limitation for etch transfer of the block copolymers thereafter.
  • the most advantageous case is to generate guide patterns having a bottom of the neutral cavity (equivalent interaction of the two blocks with the substrate) and with edges of the cavity preferentially affine with one of the two phases of the polymer.
  • the area of the sacrificial polymer block that will be selectively removed during the revelation step passes through the entire thickness to the interface with the substrate, which is very advantageous for the pattern transfer step. in the substrate by etching (Claria, G. et al., J. Micro / Nanolith, MEMS MOEMS 15 (3), 031604 (Aug. 25, 2016)).
  • this configuration will produce semi-cylinders backed by the edge of the patterns, in addition to a whole cylinder in the center (if the commensurability rules period BCP / size of the pattern are respected).
  • These half-cylinders can be transferred into the substrate at the same time as the entire cylinder, which will generate a final size variability of the BCP patterns, a case that is not conducive to microelectronics (T. Iwama & al., Macromolecules 2015, 48, 1256-1261).
  • the aim of the invention is to overcome the drawbacks of the state of the art by proposing a method for forming a guiding motif for a BCP, as well according to a graphoepitaxy or chemiepitaxie approach or any combination of these two methods, in wherein the bottom and the flanks of the cavities of the guide pattern are functionalized differently, regardless of the density or the plurality of densities of the guide pattern on a given substrate.
  • a nano-structured assembly process comprising at least one block copolymer on a grapho-epitaxial or chemically-epitaxial functionalized guide unit is proposed, the process comprising the following steps:
  • the guiding pattern comprising a cavity comprising a bottom and lateral flanks.
  • the method thus makes it possible to form a nano-structured assembly comprising at least one block copolymer on a guiding pattern comprising at least one cavity whose bottom is functionalized differently from the lateral flanks and their upper parts.
  • the method is effective regardless of the density of the guide patterns. DETAILED DESCRIPTION.
  • this guide pattern comprises a cavity comprising a bottom 2 (FIGS. 1B, 1C, 1D) and lateral flanks 1 (FIGS. 1A, 1B, 1C, 1D). It can be obtained by any conventional lithography technique known to those skilled in the art as described for example in C. Liu et al., Macromolecules 2011, 44, 1876-1885 (chemistry-epitaxy) or E. Korczynski in Semiconductor Manufacturing and Design http://semimd.com/blog/tag/lithography/ (grapho-epitaxy).
  • the guide pattern on a substrate is therefore composed of the following elements:
  • flanks comprising a given material, for example a "SOC” type material abbreviated as “spin on carbon” deposited on the substrate using the “spin coating” technique, the nature of which is a crosslinked carbonaceous polymer resin.
  • SOC spin on carbon
  • the flanks constituting the guide pattern may consist of a stack of materials of different or identical natures rather than a single material.
  • the material closest to the substrate is the majority material (in terms of measured thickness) and that it meets the specific criteria as such designated by the invention.
  • Funds consisting of inorganic materials comprising at least one metalloid of the block P of the elements present in the columns 13 to 16 of the periodic table of the elements, chosen from Al, Si, Ge, As, In or a combination of elements of the columns IIIA / IVA (columns 13 and 14), or a transition metal of the block "d" of the periodic table of the elements, or else whose composition comprises an element chosen from the column 17 (halides) and / or a non-element metal selected from the elements of columns 15 and 16.
  • the bottom of the cavities may also consist of an organic resin layer previously spread for example by the technique spin-coating or any other suitable technique. This organic resin must nevertheless comprise at least one of the elements listed above by at least 5% by weight.
  • the bottom of the guide pattern may also consist of an organic - inorganic resin containing at least 20% by mass of heteroatoms other than C and H.
  • this same layer of resin can be used as a temporary "hard mask” to facilitate the transfer of BCP patterns into the underlying substrate (M Delalande et al., Journal of Vacuum Science & Technology B 32, 051806 (2014), doi: 10.1116 / 1.4895334).
  • the guiding patterns may be isolated but may also have a plurality of patterns of any size and density, equivalent or not in terms of width and wall height.
  • the purpose of the reducing treatment used in the process of the invention is to reduce (that is to say reduce the reactivity of the materials with respect to a subsequent step of chemical chemical grafting) the chemical functions present on the the lateral surfaces of the flanks and their upper parts of the guide patterns (3-figure 2B, 1R- figure 2D).
  • This treatment can indifferently affect or not the material constituting the bottom of the guide patterns.
  • This reducing treatment is advantageously carried out using an anisotropic or isotropic plasma generated by a controlled chemistry derived from a gas, preferably not polymerizing, for example 3 ⁇ 4, CH 4 , C n H n n + 2- (n taking whole values greater than or equal to 1) or a plasma derived from a gas comprising any combination of these chemical species of different natures or any plasma derived from a gas making it possible to reduce the degree of oxidation of at least 50% by mass of the surface carbon atoms to 0 or less, on all or part of the surface, diluted or not in a neutral or rare gas (argon, nitrous, helium, neon, krypton) non-reactive.
  • a neutral or rare gas argon, nitrous, helium, neon, krypton
  • the plasma will not comprise a molecular species having an atomic element in its constitution such as oxygen, nitrogen (with the exception of nitrogen), phosphorus, sulfur, chlorine.
  • This reduction reaction makes it possible to eliminate at least in part the chemical functions of the surface of the lateral flanks and their upper parts which could react with a given molecule brought into contact with the lateral flanks and their upper parts and establish a strong bond with this molecule, and could thus lead to the grafting of the molecule on the lateral flanks and their upper parts.
  • the plasma can be generated by any technique known to those skilled in the art and in particular in an ICP ("inductively coupled plasma") or CCP ("capacity coupled plasma”) type reactor.
  • the reducing treatment is carried out in a controlled manner (reactivity of the species present in the plasma, time and / or application temperature of the chemical reducer on said guide pattern, etc.), thus permitting grafting only. part of the molecules constituting the neutral material on the lateral flanks and their upper parts of the guide pattern of the BCP, while ensuring a very effective grafting on the material constituting the bottom of the cavities.
  • the reducing treatment will be carried out in the context of this variant so that the density of the hetero atoms present before treatment on the side flanks and their upper parts is decreased by 20% by mass and preferably 50% by mass.
  • the density of the grafted species is small [compared to the density obtained by grafting the same species a silica / silicon surface under the same conditions], it does not provide effective neutralization of the flanks, said flanks will present therefore always a particular affinity for at least one of the different phases of the BCP; however, the density of grafted molecules is sufficient to allow the possibility of modulating the optimal size of the best guiding motif (the one / those allowing to obtain the lowest defect levels for a BCP of given dimension) via the use of grafted molecules of different sizes / molecular weight.
  • this variant of the invention therefore allows an additional degree of freedom as to the choice of the optimal guiding pattern, while ensuring that the bottom of the cavities is neutral for the BCP considered, said pattern now being chosen by the combination of the size / lateral dimension / width of the pattern just after the lithography step, and the size / thickness of the grafted molecules.
  • the new chemical functions created and carried by the heteroatoms of the material can be much more easily moved during the grafting reaction only in the case of the material constituting the lateral flanks, because of the different chemical nature between the side flanks and their upper parts relative to the funds of the guide pattern.
  • the reducing treatment may also be carried out by any other chemical treatment, among which mention may be made of reducing agents that may be chosen from a non-exhaustive list comprising, inter alia, the following chemical species: hydrazine, phenylhydrazine, hydroxylamine / ammonia, hydroxylamine, benzylamine, oximes, thiourea, urea, amidoiminomethane sulphinic acid / ammonia, hydroquinone, ascorbic acid / ammonia, ascorbic acid, mesylates and tosylates, alone or as a mixture, to reduce the degree of oxidation by at least 50% by mass of carbon atoms surface areas at 0 or less on all or part of the surface.
  • reducing agents may be chosen from alia, the following chemical species: hydrazine, phenylhydrazine, hydroxylamine / ammonia, hydroxylamine, benzylamine, oximes, thiourea
  • the reducing compound may be an integral part of the backbone of a given polymer, or a given polymer may act as a matrix for the reducing compound, so that the reduction reaction can take place in the absence of solvent, the polymer / matrix of the reducing agent can then be simply deposited (by spin-coating or other known techniques) on the resin, and the reduction reaction will then take place during a subsequent annealing, and the products of The reaction and the polymer / matrix may be removed by rinsing in a suitable solvent.
  • the polymer / matrix will have a glass transition temperature lower than the annealing temperature so as to facilitate the diffusion of species in the film.
  • a random copolymer 4 (or any other chemical compound defined in that it can act as a neutralization layer for the block copolymer considered in the following process) is deposited.
  • the random copolymer has a composition that allows a neutrality of the surface energies vis-à-vis the block copolymer that will be deposited later to promote the perpendicular organization of the domains following the nano-structuring of this block copolymer. It is also possible to use any other compound which allows grafting and allows neutrality of the surface energies with respect to the block copolymer deposited after this neutralization.
  • the present invention and its possible variants is based on the difference in reactivity of the different materials constituting the stack at the heart of the guide patterns. It is therefore essential that their chemical / atomic composition be as dissimilar as possible, without excluding the fact that the same result can be obtained simply by using different atomic proportions for the various functions of the materials of the stack.
  • the material constituting (at least the main part of) the flank of the guiding units contains a majority of carbon (thus type rather "SoC"), typically greater than 50% by mass in order to limit as much as possible the presence of heteroatoms constituting as many anchor points as possible after reducing treatment for the neutral sub-layer to be grafted.
  • This material may, however, contain up to 20% by weight of heteroatoms other than C and H, for partial grafting of the underlayer.
  • this material may consist entirely or partially, mixed or not, polystyrene (substituted or not) and / or alkyl polymethacrylate.
  • the material forming the bottom of the units will contain from 20% by mass of heteroatoms other than C and H in its formula, whether this material is a resin (SiARC or SoG (spin-on-glass) type) or an inorganic substrate of the oxide or semiconductor type.
  • this material is a resin (SiARC or SoG (spin-on-glass) type) or an inorganic substrate of the oxide or semiconductor type.
  • the stack described according to a double-layer "SoC / SiARC or SoC / oxide or SoC / metal” constitutes the typical stack of the invention, but is in no way a limiting stack for it: it is everything it is possible to envisage a stack with three or more layers, of the "SiARC / SoC / SiARC" type, or any other material stacking variant.
  • the guiding pattern may be a stack of at least two different materials each constituting either the bottom or the flanks.
  • the chemical structure of the neutral material to be grafted also has a significant importance for the invention, and more particularly the nature of the chemical functions providing the grafting function present in the molecules to be grafted. Indeed, if the very nature of the neutral material (for example, a BCP, a random polymer, a mixture of homopolymers, a small molecule, etc.) is only secondary in the context of the invention, on the other hand the The reactivity of the grafting functions to the different chemically unequal surfaces must not be neglected.
  • the molecule or polymer of neutral material constituting the sublayer may therefore comprise at least one chemical function, or a combination of different chemical functions, chosen from among the alcohol functions (primary, secondary or tertiary), the radicals generated by nitroxy type functions, diazo or benzile, the functions type halides, diazoniums, thiols, thioesters and dithioesters, unsaturations type "sp2" or "sp" carbon, amines, sulfonates, phosphonates.
  • the alcohol functions primary, secondary or tertiary
  • the radicals generated by nitroxy type functions diazo or benzile
  • the functions type halides diazoniums, thiols, thioesters and dithioesters
  • unsaturations type "sp2" or "sp" carbon unsaturations type "sp2" or "sp" carbon, amines, sulfonates, phosphonates.
  • This layer of neutral material may be a statistical random gradient polymer or a block copolymer or a homopolymer whose monomers or comonomers may be wholly or partly identical or different from those constituting the self-organizing block copolymer in the graphoepitaxy or chemistry-epitaxy guides, or any mixture comprising at least one of these chemical entities.
  • block copolymer (s) (BCP) that can be deposited on prepared surfaces as described in the invention it can be any type of BCP, whether in number of blocks or architecture, that it is linear or star.
  • the mass (number-average molecular weight) of the BCP deposited on the prepared surface may be between 500 and 500,000 g / mol, and more preferably between 1000 and 300,000 g / mol.
  • the polydispersity index of the BCP deposited on the prepared surface may be between 1.0 and 3.0, and more preferably between 1.01 and 2.0.
  • the BCP deposited on the prepared surface may be alone in its deposition solvent, or be part of a mixture of chemical entities (homopolymers, copolymers, random copolymers, block copolymers, small oligomers ..) containing at least one BCP.
  • the BCP may consist of blocks comprising in whole or in part (block consisting of a copolymer of different comonomers) monomers of the acrylate, methacrylate, styrene, vinyl, carbonate type. , lactones, lactams, olefins, silicones.
  • the invention also relates to the use of the method to obtain a lithography mask and the lithography mask thus obtained.
  • Figure 1A 1 is the flank of the grapho-epitaxy pattern. It consists of SoC (spin on carbon) and has an affinity for one of the blocks of the block copolymer. It may also consist of homopolymer crosslinked or not. It is obtained by any lithography technique known to those skilled in the art.
  • 2 is a surface made of silicon (typically a wafer Si02 / Si); 2 may also be an inorganic-organic resin (eg SiARC, SOG, etc.) containing a metalloid, or a metal in a sufficient quantity. It can also be an oxide or metal nitride in the form of a layer of controlled thickness (typically a few tens or even hundreds of nm) to act as a mask Hard (M. Delaieri et al., Journal of Vacuum Science & Technology B 32, 051806 (2014), doi: 10.1116 / 1.4895334) during transfer into the substrate via a suitable chemistry plasma.
  • This figure corresponds to FIG. 2A after a suitable treatment allowing the grafting of the random copolymer 3.
  • This grafting is carried out by the layer 3g grafted and freed of the ungrafted random copolymer (removal of the non-grafted random copolymer by washing with the aid of a suitable solvent).
  • This figure materializes the structure of FIG. 3A after deposition by a suitable technique (for example spin coating) of a block copolymer 4 dissolved in a solvent.
  • a suitable technique for example spin coating
  • FIG. 4A The structure shown in FIG. 4A is treated by a technique (thermal or solvent vapor for example) for a sufficient time of a few minutes to a few hours) allowing the self-assembly of the block copolymer 4, here a diblock.
  • a technique thermal or solvent vapor for example
  • Figure 1B 1 is the flank of the grapho-epitaxial pattern. It consists of SoC (spin on carbon) and has an affinity for one of the blocks of the block copolymer. It may also consist of homopolymer crosslinked or not. It is obtained by any lithography technique known to those skilled in the art.
  • 2 is a surface made of silicon (typically a wafer Si02 / Si); 2 may be an inorganic-organic resin (eg SiARC, SOG ...) containing a metalloid, metal in sufficient quantity. It can also be an oxide or metal nitride in the form of a layer of controlled thickness (typically a few tens or even hundreds of nm) to act as a hard mask (M. Delaisme et al., Journal of Vacuum Science & Technology B 32, 051806 (2014), doi: 10.1116 / 1.4895334) upon transfer into the substrate via a suitable chemistry plasma.
  • Figure 2B :
  • FIG. 1B corresponds to the characteristics of FIG. 1B treated according to the process of the invention, that is to say that a reducing treatment is applied to the materials described in FIG. 1B.
  • the surface thus reduced is materialized by the layer 3.
  • Figure 3B This figure corresponds to the characteristics of FIG. 2B on which is deposited a compound 4 allowing the neutrality with respect to the block copolymer which will be deposited later.
  • 4 is generally a random copolymer but other compounds are not excluded.
  • FIG. 3B This figure corresponds to FIG. 3B after a suitable treatment allowing the grafting of the random copolymer 4.
  • This grafting is carried out by the grafted layer 4g and freed of the ungrafted random copolymer (removal of the non-grafted statistical copolymer by washing with the aid of a suitable solvent). It can be seen that only the bottom of the cavity has the grafted neutralization layer, the reducing treatment 3 not allowing the grafting of random copolymer 4 on the flanks.
  • This figure materializes the structure of FIG. 4B after deposition by a suitable technique (for example spin coating) of a block copolymer dissolved in a solvent, before the annealing allowing self-organization.
  • a suitable technique for example spin coating
  • FIG. 5B The structure shown in FIG. 5B is treated by a technique (thermal or solvent vapor, for example) for a sufficient time of a few minutes to a few hours) allowing self-assembly of the block copolymer 5, here a diblock. This results in a phase separation with distinct domains of the blocks 6 and 7. It is found in the context of the invention that whole cylinders of 6 and 7 are present. Chemistry-epitaxy outside the invention, (FIGS. 1C to 5C)
  • Figure 1C 1 is the flank of the chemistry-epitaxy pattern. It consists of SoC (spin on carbon) and has an affinity for one of the blocks of the block copolymer. It may also consist of homopolymer crosslinked or not or a monomolecular monolayer, for example a crosslinked homopolymer of the same nature as one of the BCP blocks that one wishes to organize. It is obtained by any lithography technique known to those skilled in the art.
  • 2 is a surface made of silicon (typically a wafer Si02 / Si); 2 may be an inorganic-organic resin (eg SiARC, SOG ...) containing a metalloid, metal in sufficient quantity. It can also be an oxide or metal nitride in the form of a layer of controlled thickness (typically a few tens or even hundreds of nm) to act as a hard mask (M. Delaisme et al., Journal of Vacuum Science & Technology B 32, 051806 (2014), doi: 10.1116 / 1.4895334) upon transfer to the substrate via a suitable chemistry plasma.
  • SiARC silicon-organic resin
  • SOG oxide or metal nitride in the form of a layer of controlled thickness (typically a few tens or even hundreds of nm) to act as a hard mask
  • FIG. 2C This figure corresponds to FIG. 2C after a suitable treatment allowing grafting of the random copolymer 3.
  • This grafting performed is materialized by the layer 4 grafted and freed of the ungrafted random copolymer (removal of the ungrafted random copolymer by washing with a suitable solvent).
  • This figure materializes the structure of FIG. 3C after deposition by a suitable technique (for example spin coating) of a block copolymer dissolved in a solvent.
  • a suitable technique for example spin coating
  • FIG. 4C The structure shown in FIG. 4C is treated by a technique (thermal or solvent vapor for example) for a sufficient time of a few minutes to a few hours) allowing self-assembly of the block copolymer 5, here a diblock. This results in a phase separation with distinct domains of the blocks 5 and 6. It is noted in this context outside the invention that the distinct domains of the blocks 5 and 6 are not superimposed on the chemistry-epitaxy patterns.
  • Figure 1D 1 is the sidewall of the chemistry-epitaxy pattern. It consists of SoC (spin on carbon) and has an affinity for one of the blocks of the block copolymer. It may also consist of homopolymer crosslinked or not, for example a crosslinked homopolymer of the same nature as one of the blocks of the BCP that one wishes to organize.il is obtained by any lithography technique known to those skilled in the art .
  • 2 is a surface made of silicon (typically a wafer Si02 / Si); 2 can also be a inorganic-organic resin (eg SiARC, SOG ...) containing a metalloid, metal in sufficient quantity.
  • It can also be an oxide or metal nitride in the form of a layer of controlled thickness (typically a few tens or even hundreds of nm) to act as a hard mask (M. Delalande et al., Journal of Vacuum Science & Technology B 32, 051806 (2014), doi: 10.1116 / 1.4895334) upon transfer into the substrate via a suitable chemistry plasma.
  • a layer of controlled thickness typically a few tens or even hundreds of nm
  • FIG. 1D corresponds to the characteristics of Figure 1D treated according to the method of the invention, that is to say that a reducing treatment is applied to the material described in Figure 1D.
  • the reduced surface is materialized by the layer 1R.
  • Figure 3D This figure corresponds to the characteristics of Figure 2D on which is deposited a compound 3 for neutrality vis-à-vis the block copolymer that will be deposited later. 3 is generally a random copolymer but other compounds are not excluded.
  • FIG. 3D This figure corresponds to FIG. 3D after a suitable treatment allowing grafting of the random copolymer 3.
  • This grafting is carried out by the layer 4 grafted and freed of the ungrafted random copolymer (removal of the non-grafted random copolymer by washing with the aid of a suitable solvent). It can be seen that the grafting could only be done by the bottom of the cavities. The surface upper flanks is devoid of the neutralization compound 4 grafted.
  • This figure materializes the structure of FIG. 4D after deposition by a suitable technique (for example spin coating) of a block copolymer dissolved in a solvent.
  • a suitable technique for example spin coating
  • FIG. 5D The structure shown in FIG. 5D is treated by a technique (thermal or solvent vapor, for example) for a sufficient time of a few minutes to a few hours) allowing self-assembly of the block copolymer 5, here a diblock. This results in a phase separation with distinct domains of the blocks 6 and 7. It is found in the context of the invention that the entire cylinders of 6 and 7 are in alignment flanks and cavities.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Graft Or Block Polymers (AREA)
PCT/EP2018/063364 2017-05-22 2018-05-22 Procede pour l'assemblage de copolymeres a blocs par controle de l'energie de surface d'un materiau WO2018215452A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR1754510 2017-05-22
FR1754510A FR3066497A1 (fr) 2017-05-22 2017-05-22 Procede pour l'assemblage de copolymeres a blocs par controle de l'energie de surface d'un materiau a l'aide d'un traitement reducteur

Publications (1)

Publication Number Publication Date
WO2018215452A1 true WO2018215452A1 (fr) 2018-11-29

Family

ID=59974520

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2018/063364 WO2018215452A1 (fr) 2017-05-22 2018-05-22 Procede pour l'assemblage de copolymeres a blocs par controle de l'energie de surface d'un materiau

Country Status (3)

Country Link
FR (1) FR3066497A1 (zh)
TW (1) TW201906950A (zh)
WO (1) WO2018215452A1 (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2733533A2 (en) * 2012-11-14 2014-05-21 Imec Etching method using block-copolymers
US8853085B1 (en) * 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US20150255271A1 (en) * 2012-09-28 2015-09-10 Tokyo Electron Limited Substrate treatment method, computer storage medium, and substrate treatment system
US9268075B1 (en) * 2015-01-08 2016-02-23 Samsung Display Co., Ltd. Method of manufacturing wire grid polarizer
US20160077263A1 (en) * 2014-09-12 2016-03-17 Samsung Display Co., Ltd. Wire grid polarizer and method of fabricating the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150255271A1 (en) * 2012-09-28 2015-09-10 Tokyo Electron Limited Substrate treatment method, computer storage medium, and substrate treatment system
EP2733533A2 (en) * 2012-11-14 2014-05-21 Imec Etching method using block-copolymers
US8853085B1 (en) * 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US20160077263A1 (en) * 2014-09-12 2016-03-17 Samsung Display Co., Ltd. Wire grid polarizer and method of fabricating the same
US9268075B1 (en) * 2015-01-08 2016-02-23 Samsung Display Co., Ltd. Method of manufacturing wire grid polarizer

Non-Patent Citations (8)

* Cited by examiner, † Cited by third party
Title
C. LIU, MACROMOLECULES, vol. 44, 2011, pages 1876 - 1885
G. CLAVEAU ET AL.: "MEMS MOEMS", J. MICRO/NANOLITH, vol. 15, no. 3, 25 August 2016 (2016-08-25), pages 031604
M. DELALANDE, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, vol. 32, 2014, pages 051806
M. DELALANDE, JOURNAL OF VACUUM SCIENCE TECHNOLOGY B, vol. 32, 2014, pages 051806
R. SEIDEL: "Advances in Patterning Materials and Processes XXXII", PROC. SPIE 9425, vol. 94250W, 23 March 2015 (2015-03-23)
S. A. GUPTARAKESH ET AL., IND. ENG. CHEM. RES., vol. 37, no. 6, 1998, pages 2223 - 2227
T. IWAMA, MACROMOLECULES, vol. 48, 2015, pages 1256 - 1261
X.CHEVALIER ET AL.: "Alternative Lithographie Technologies III", PROC. SPIE 7970, vol. 79700Q, 4 April 2011 (2011-04-04)

Also Published As

Publication number Publication date
TW201906950A (zh) 2019-02-16
FR3066497A1 (fr) 2018-11-23

Similar Documents

Publication Publication Date Title
EP2715782B1 (fr) Procédé de réalisation de motifs à la surface d'un substrat utilisant des copolymeres à bloc
EP2998981B1 (fr) Procédé de grapho-épitaxie pour réaliser des motifs à la surface d'un substrat
EP3503165B1 (fr) Procédé de formation d'une structure de guidage chimique sur un substrat et procédé de chémo-épitaxie
WO2015092241A1 (fr) Procédé de nanostructuration d'un film de copolymère a blocs a partir d'un copolymère a blocs non structure a base de styrène et de methacrylate de méthyle, et film de copolymère a blocs nanostructure
EP3347769B1 (fr) Procédé de gravure sélective d'un copolymère à blocs
FR3010413A1 (fr) Procede de controle de la periode d'un assemblage nano-structure comprenant un melange de copolymeres a blocs
FR3010414A1 (fr) Procede d'obtention de films epais nano-structures obtenus a partir d'une composition de copolymeres a blocs
EP3465739B1 (fr) Procédé de formation d'un motif de guidage fonctionnalisé pour un procédé de grapho-épitaxie
EP3529664B1 (fr) Procédé de formation d'un guide d'assemblage fonctionnalisé et procédé de grapho-épitaxie
WO2018215452A1 (fr) Procede pour l'assemblage de copolymeres a blocs par controle de l'energie de surface d'un materiau
WO2016193582A1 (fr) Procédé de contrôle de l'énergie de surface a l'interface entre un copolymere a blocs et un autre composé
WO2018215455A1 (fr) Procede pour l'assemblage de copolymeres a blocs par controle de l'energie de surface d'un materiau
EP3080217B1 (fr) Procédé permettant la création de structures nanométriques par l'auto-assemblage de copolymères a blocs
WO2019147312A1 (en) Metallic tin thin films as an etch mask
EP3465741A1 (fr) Procédé d'auto-assemblage dirigé d'un copolymère à blocs par grapho-épitaxie
FR3010411A1 (fr) Procede de controle de la periode d'un assemblage nano-structure comprenant un melange de copolymeres a blocs
FR3105755A1 (fr) Procédé de fabrication d’une couche d’arrêt de gravure pour nanolithographie par autoassemblage dirigé
WO2017068259A1 (fr) Procede permettant la creation de structures nanometriques par l'auto-assemblage de copolymeres di-blocs
EP1763880A1 (fr) Procede de realisation d"un support d"enregistrement optique a plusieurs etages et support obtenu
FR3069340A1 (fr) Procede de controle de l'orientation des nano-domaines d'un copolymere a blocs
FR3051965A1 (fr) Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie
WO2020048954A1 (fr) Procédé d'auto-assemblage dirigé d'un mélange de copolymère à blocs
FR3091531A1 (fr) Procédé d’auto-assemblage d’un copolymère à blocs à l’aide d’une couche de revêtement supérieur
WO2015121568A1 (fr) Procede de controle de l'energie de surface d'un substrat
FR3069339A1 (fr) Procede de controle de l'orientation des nano-domaines d'un copolymere a blocs

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18726154

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 18726154

Country of ref document: EP

Kind code of ref document: A1