WO2015190852A1 - Crystalline multiple-nanosheet strained channel fets and methods of fabricating the same - Google Patents

Crystalline multiple-nanosheet strained channel fets and methods of fabricating the same Download PDF

Info

Publication number
WO2015190852A1
WO2015190852A1 PCT/KR2015/005902 KR2015005902W WO2015190852A1 WO 2015190852 A1 WO2015190852 A1 WO 2015190852A1 KR 2015005902 W KR2015005902 W KR 2015005902W WO 2015190852 A1 WO2015190852 A1 WO 2015190852A1
Authority
WO
WIPO (PCT)
Prior art keywords
gate
layer
layers
channel
crystalline
Prior art date
Application number
PCT/KR2015/005902
Other languages
English (en)
French (fr)
Inventor
Borna J OBRADOVIC
Robert C. Bowen
Mark S RODDER
Original Assignee
Samsung Electronics Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/729,652 external-priority patent/US9570609B2/en
Application filed by Samsung Electronics Co., Ltd. filed Critical Samsung Electronics Co., Ltd.
Priority to CN201580029454.4A priority Critical patent/CN106463543B/zh
Publication of WO2015190852A1 publication Critical patent/WO2015190852A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Definitions

  • the present inventive concepts relate to semiconductor devices, and more particularly, to semiconductor field effect transistor devices.
  • III-V semiconductor-based MOSFETs including III-V channel materials may have good CV/I characteristics and relatively high current at low voltages. This may be attributable to the relatively high mobility achievable in the channel, as well as the relatively low parasitic resistance for some semiconductor/metal combinations.
  • the high mobility may be attributed at least in part to the relatively low electron effective mass. Due to the isotropic nature of the effective mass in many III-V semiconductors, the quantum-confinement mass may also be small, which may result in electron wavefunctions that may be broad and may penetrate into the gate dielectric layer(s) surrounding the channel.
  • the gate dielectric layer(s) may be non-crystalline layers on the channel and/or may separate the channel from a non-crystalline gate electrode. The presence of such non-crystalline layers on the surface of the typically crystalline channel may result in carrier scattering (typically referred to as surface roughness (SR) scattering), which may limit the mobility of confined electrons.
  • SR surface roughness
  • III-V semiconductor-based MOSFETs including III-V channel materials may include crystalline buffer layers, such as indium phosphide (InP), around the channel.
  • the crystalline buffer layer(s) may have a thickness that is sufficient to separate the crystalline channel from the non-crystalline layers and help reduce carrier scattering.
  • buffer layers may degrade the short-channel performance of the device, due to the increased separation of the gate electrode and the channel inversion layer.
  • the use of crystalline buffer layers may limit the use of III-V MOSFETs to relatively long gate lengths (for example, greater than about 40 nm).
  • Group IV semiconductor-based MOSFETs such as Si and SiGe nanosheet transistors
  • Si and SiGe nanosheet transistors may be an option for sub-10nm technologies, for example, due to improved electrostatics (relative to finFETs) and stackability of nanosheets.
  • improving DC performance relative to finFETs may require relatively wide nanosheets to achieve sufficient Ieff in the desired layout area, and with a desired number of stacked nanosheet layers.
  • This may present processing difficulties, as highly selective etching may be required to undercut one type of nanosheet (e.g. Si or SiGe) relative to the other type of nanosheet (e.g. SiGe or Si), to create desired conduction channels formed with the desired type of nanosheet material. Additionally, the etching process may temporarily create free surfaces around the nanosheets, causing any built-in strain to relax, which can limit the performance of the nanosheets.
  • a field effect transistor includes a nanosheet stack having a plurality of individually gated conduction channels.
  • the individually gated conduction channels respectively include a crystalline semiconductor channel layer, a crystalline gate dielectric layer on the channel layer, and a crystalline semiconductor gate layer on the gate dielectric layer opposite the channel layer.
  • the nanosheet stack is strained from lattice mismatch between ones of the layers thereof.
  • the crystalline channel layer, the crystalline gate dielectric layer, and the crystalline gate layer may be heteroepitaxial layers.
  • the field effect transistor may be an n-type device, and the crystalline channel layer comprises silicon (Si).
  • the field effect transistor may be a p-type device, and the crystalline channel layer comprises silicon germanium (SiGe).
  • the crystalline dielectric layer may be calcium fluoride (CaF2), zinc sulfide (ZnS), praseodymium oxide (Pr2O3), and/or gadolinium oxide (Gd2O3).
  • the field effect transistor may be an n-type device, and the crystalline gate layer may be doped silicon germanium (SiGe).
  • the field effect transistor may be a p-type device, and the crystalline gate layer may be doped silicon (Si).
  • a field effect transistor includes a body layer including a crystalline semiconductor channel region, and a gate stack on the channel region.
  • the gate stack includes a crystalline semiconductor gate layer that is lattice mismatched with the channel region, and a crystalline gate dielectric layer between the gate layer and the channel region.
  • an interface between the channel region and the gate stack may be free of amorphous materials.
  • the gate dielectric layer may be a high-k crystalline insulating layer directly on the channel region.
  • the gate layer may be directly on the gate dielectric layer.
  • the channel region and the gate layer may be heteroepitaxial strained semiconductor layers.
  • the channel region and the gate layer may be different Group IV materials, and the gate layer may be heavily doped relative to the channel region.
  • one of the channel region and the gate layer may be compressively strained silicon germanium (SiGe), and another of the channel region and the gate layer may be tensile strained silicon (Si).
  • the gate layer may include respective crystalline semiconductor gate layers on opposing surfaces of the channel region
  • the gate dielectric layer may include respective gate dielectric layers between the respective gate layers and the opposing surfaces of the channel region.
  • a structure including the gate stack and the body layer may be repeatedly stacked to define a plurality of individually-gated channel regions, and strain in the channel regions and the gate layers may be maintained throughout the structure.
  • the structure may have a width of greater than about 30 nanometers but less than about 100 nanometers.
  • the channel region may be separated from the gate layer by the gate dielectric layer having a thickness of less than about 3 nanometers.
  • the channel regions and/or the gate layers may have respective thicknesses of less than about 10 nanometers in some embodiments.
  • the respective gate layers on the opposing surfaces of the channel region may be primary gate layers.
  • a secondary gate layer may be provided on at least one sidewall of the channel region between the opposing surfaces thereof.
  • the secondary gate layer may be formed of a metal material or a doped polycrystalline material.
  • the plurality of individually-gated channel regions may define a fin protruding from a substrate, and the secondary gate layer may extend on opposing sidewalls of the fin and on a surface therebetween.
  • an amorphous insulating layer may separate sidewalls of the channel region from the secondary gate layer, and the secondary gate layer may be conductively coupled to all of the primary gate layers.
  • source/drain regions may be provided on opposite ends of and conductively coupled to the channel region and adjacent the gate stack thereon.
  • Amorphous insulating layers may separate opposing sidewalls of the gate layer from the source/drain regions.
  • a method of fabricating a field effect transistor includes providing a body layer including a crystalline semiconductor channel region, and providing a gate stack on the channel region.
  • the gate stack includes a crystalline semiconductor gate layer that is lattice mismatched with the channel region, and a crystalline gate dielectric layer between the gate layer and the channel region.
  • the gate dielectric layer may be a high-k crystalline semiconductor layer formed directly on the channel region.
  • the channel region and the gate layer may be strained semiconductor layers.
  • the channel region, the gate dielectric layer, and the gate layer may be formed by heteroepitaxial growth.
  • the channel region and the gate layer may be formed of different Group IV materials, and the gate layer may be heavily doped relative to the channel region.
  • one of the channel region and the gate layer may be compressively strained silicon germanium (SiGe), and another of the channel region and the gate layer may be tensile strained silicon (Si).
  • respective gate dielectric layers and respective gate layers thereon may be formed on opposing surfaces of the channel region.
  • providing the gate stack and the body layer may include forming a structure comprising the gate stack and the body layer repeatedly stacked to define a plurality of individually-gated channel regions.
  • the respective gate layers on the opposing surfaces of the channel region may be primary gate layers, and a secondary gate layer may be formed on at least one sidewall of the channel region between the opposing surfaces thereof.
  • the secondary gate layer may be formed of a metal material or doped polycrystalline material.
  • the plurality of individually-gated channel regions may define a fin protruding from a substrate, and the secondary gate layer may be formed on opposing sidewalls of the fin and on a surface therebetween.
  • the sidewalls of the channel region may be selectively recessed to define recesses therein, and amorphous insulating layers may be formed in the recesses in the sidewalls of the channel region.
  • the amorphous insulating layers may separate the channel region from the secondary gate layer.
  • opposing sidewalls of the primary gate layers may be selectively recessed to define respective recessed regions therein, and amorphous insulating layers may be formed in the respective recessed regions.
  • Source/drain regions may be epitaxially grown from opposite ends of the channel region, and the amorphous insulating layers may separate the opposing sidewalls of the primary gate layers from the source/drain regions.
  • FIG. 1A is a perspective view illustrating FETs having crystalline channel, dielectric, and gate layers in accordance with some embodiments of the present inventive concepts.
  • FIGS. 1B and 1C are cross sectional views taken along lines B-B’ and C-C’ of FIG. 1A, respectively.
  • FIGS. 2-6 are cross sectional views taken along line B-B’ of FIG. 1A, illustrating methods of fabricating FETs having crystalline channel, dielectric, and gate layers in accordance with some embodiments of the present inventive concepts.
  • FIGS. 7-12 are cross sectional views taken along line C-C’ of FIG. 1A, illustrating methods of fabricating FETs having crystalline channel, dielectric, and gate layers in accordance with some embodiments of the present inventive concepts.
  • FIG. 13 is a perspective view illustrating FETs having crystalline channel, dielectric, and gate layers in accordance with further embodiments of the present inventive concepts.
  • FIGS. 14A and 14B are cross sectional views taken along lines A-A’ and B-B’ of FIG. 13, respectively, illustrating n-channel FETs in accordance with further embodiments of the present inventive concepts.
  • FIGS. 15A and 15B are cross sectional views taken along lines A-A’ and B-B’ of FIG. 13, respectively, illustrating p-channel FETs in accordance with further embodiments of the present inventive concepts.
  • FIGS. 16-20 are cross sectional views taken along line A-A’ of FIG. 13, illustrating methods of fabricating n-type FETs having crystalline channel, dielectric, and gate layers in accordance with further embodiments of the present inventive concepts.
  • FIGS. 21-26 are cross sectional views taken along line B-B’ of FIG. 13, illustrating methods of fabricating n-type FETs having crystalline channel, dielectric, and gate layers in accordance with some embodiments of the present inventive concepts.
  • Some embodiments of the inventive concepts arise from a realization that the use of crystalline buffer materials on and/or around the channel region may suppress SR scattering at the expense of short channel performance, introducing a tradeoff between mobility and short-channel performance in III-V MOSFETs. Some embodiments of the inventive concepts provide a III-V FET structure which reduces and/or eliminates this tradeoff to improve the potential of III-V FETs.
  • the inventive concepts introduce a III-V channel FET in which the channel layer and gate stack are formed substantially or entirely of monocrystalline, lattice matched semiconductors.
  • the gate stack may include a II-VI wide bandgap, high-k (i.e., having a high dielectric constant relative to silicon dioxide) semiconductor as the gate dielectric layer, and a moderate bandgap III-V semiconductor as the gate layer.
  • the channel material is indium arsenide (InAs)
  • the gate dielectric material is zinc telluride (ZnTe)
  • the gate material is aluminum antimonide (AlSb).
  • Multiple channel layers may be formed, for example, as determined by or otherwise based on a targeted current-carrying capacity requirement of the device.
  • Each channel layer may be gated from above and below by heavily doped AlSb layers.
  • a ZnTe gate dielectric layer may be provided between each channel layer and the adjacent gate layers.
  • the channel/dielectric/gate stacks may be arranged in thin layers (having respective widths greater than respective thicknesses thereof), hereafter referred to as nanosheets.
  • the overall structure may provide a FET with high channel mobility (e.g., due to reduction or minimization of surface roughness (SR) scattering), good short-channel behavior (e.g., due to the absence of conductive buffer layers further separating the channel from non-crystalline layers, thus keeping inversion layers close to the gates), and low parasitic resistance (e.g., due to high conductivity of doped InAs and low contact resistance for n-contacts), suitable for use in 7nm technologies and beyond.
  • SR surface roughness
  • FIG. 1A is a perspective view illustrating FET devices including a crystalline channel, gate, and dielectric layers in accordance with some embodiments of the present inventive concepts
  • FIGS. 1B and 1C are cross sectional views taken along lines B-B’ and C-C’ of FIG. 1A, respectively.
  • a field effect transistor (FET) 100 in accordance with some embodiments of the present inventive concepts includes a structure 102 having a semiconductor active or body layer defining a transistor channel layer or region 105, and a semiconductor gate stack 106 on the channel region 105.
  • FET field effect transistor
  • the gate stack 106 includes a crystalline gate dielectric layer 110 and a crystalline gate layer 115 (also referred to herein as a primary gate layer or first gate electrode) thereon.
  • the crystalline gate dielectric layer 110 may be a high-k, wide bandgap semiconductor, while the crystalline gate layer 115 may be a moderate bandgap semiconductor with high dopant activation.
  • the structure may include an indium arsenide (InAs) channel region 105, a zinc telluride (ZnTe) gate dielectric layer 110, and a highly-doped aluminum antimonide (AlSb) gate layer 115, all of which may be monocrystalline in some embodiments.
  • InAs indium arsenide
  • ZnTe zinc telluride
  • AlSb highly-doped aluminum antimonide
  • the channel region 105 is a crystalline semiconductor layer extending between source and drain regions 105s and 105d on opposite sides thereof.
  • the source/drain regions 105s/105d may be highly doped, resulting in low contact resistance.
  • the source/drain regions 105s/105d may also be formed of crystalline semiconductor materials, and may be formed of the same material as the channel region 105 in some embodiments.
  • the source/drain regions 105s/105d may also be partly formed of a metal for lower resistance in some embodiments.
  • a structure including the channel layer 105, gate dielectric layer 110, and gate layer 115 defines an individually gated channel region, also referred to herein as a nanosheet 101, which is repeated to define a plurality of stacked, individually gated channel regions, also referred to herein as a nanosheet stack 102.
  • the nanosheet stack 102 is thus a three-dimensional structure that may be formed on (e.g., as a protruding fin on a surface of) or within (e.g., in a trench defined in) a substrate 107, and may include any number/amount of individually-gated channels 105, for example, based on a desired application.
  • the number or quantity of channels 105 in the transistor 100 may be determined by a targeted current carrying capacity for the transistor 100.
  • Each of the channel layers 105 may be relatively thin (i.e., less than about 10 nanometers in thickness), allowing for improved electrostatic control.
  • the substrate 107 may, for example, be a silicon substrate, a silicon-on-insulator (SOI) substrate, or other substrate.
  • the use of crystalline semiconductor materials for the gate dielectric layer 110, the gate layer 115, and the channel region 105 allows for an almost entirely crystalline nanosheet stack 102, with the crystalline gate dielectric layer 110 being directly on the crystalline channel region 105.
  • the interface between the channel region 105 and the gate stack 106 may thus be free of amorphous or non-crystalline layers, thereby reducing SR scattering due to the lack of interface surface roughness.
  • the transistor 100 may thereby exhibit very high channel mobility.
  • the interface between the channel region 105 and the gate stack 106 may also be free of low-k crystalline buffer layers, such as indium phosphide (InP), which may improve short channel performance of the transistor 100 by improving (i.e., reducing) the equivalent oxide thickness (EOT), as only the relatively thin gate dielectric layer 110 (e.g., about 2-3 nm in thickness) may separate the gate layer 115 from the channel region 105.
  • low-k crystalline buffer layers such as indium phosphide (InP)
  • EOT equivalent oxide thickness
  • each of the channel regions 105 includes a gate stack 106 thereabove and therebelow (that is, on opposing surfaces of the channel region 105), allowing for improved control.
  • the two-dimensional electron gas (2DEG) in each indium arsenide channel region 105 may be gated from above (i.e., on top of the channel 105) and below (i.e., at the bottom of the channel 105).
  • each of the layers 105, 110, 115 of the nanosheet stack 102 may have respective crystal structures that are substantially lattice matched with the layer therebelow.
  • the layers 105, 110, and/or 115 may be lattice matched heteroepitaxial layers.
  • the transistor 100 also includes a metal or polycrystalline gate contact layer (also referred to herein as a secondary gate layer or second gate electrode) 615 on an upper surface and opposing sidewalls (that is, on at least three sides) of nanosheet stack 102.
  • the gate contact layer 615 electrically contacts each of the gate layers 115 in the nanosheet stack 102 such that multiple gates 115 may be controlled by a single gate electrode/contact 615, defining a multi-gate, multi-channel device.
  • Physical properties of a nanosheet stack 102 may include, but are not limited to: a fully crystalline, lattice matched, multi-channel structure including crystalline semiconductor channels 105, gate dielectric layers 110, and gate layers 115; each channel 105 is gated at top and bottom, where the gates 115 are electrically contacted by a common gate contact 615; a ZnTe gate dielectric layer 110 having bandgap of about 3eV (wide-bandgap semiconductor) with a k of 7.9 (moderate high-k); an AlSb gate layer 115 having a bandgap of about 1.5 eV (moderate bandgap semiconductor) with high dopant activation; and/or multiple channel layers 105, as many as desired for a particular application.
  • Electrical properties of a nanosheet stack 102 may include, but are not limited to: improved (i.e., thinner) EOT, due to the absence or omission of InP or other buffer layers between the channel region 105 and the gate layer 115; the 2DEG in each InAs channel layer 105 can be controlled from above and below by respective gate layers 115; reduced SR scattering due to the absence/omission of surface roughness/amorphous layers at the interface between the channel layer 105 and the gate dielectric layer 110, (with only small amounts of amorphous insulating layers 420r present at sidewalls of the channel layer 105 for insulation from the gate contact 615); low parasitic resistance and/or low contact resistance due to the highly doped InAs source/drain regions 105s/105d; and high channel mobility, without the use of buffer layers (due to the absence of amorphous layers at the interface between the channel layer 105 and the gate dielectric layer 110).
  • embodiments of the present inventive concept may reduce and/
  • the nanosheet stack 102 may define a three-dimensional fin-shaped active region protruding from a substrate, with the gate contact layer 615 on an upper surface and sidewalls thereof, in a finFET structure.
  • the nanosheet stack 102 may be similarly formed within a trench structure in a substrate, with the gate contact 615 extending along at least sidewalls of the trench between the substrate and the nanosheet stack 102.
  • the gate contact 615 may also extend on a top surface of the nanosheet stack in a gate-all-around (GAA) FET structure.
  • GAA gate-all-around
  • FIGS. 2-12 are cross sectional views illustrating methods of fabricating FET devices in accordance with some embodiments of the present inventive concepts, where FIGS. 2-6 are cross sectional views taken along line B-B’ of FIG. 1.
  • FIG. 2 in forming a multi-channel III-V FET according to some embodiments of the inventive concepts, a substantially or fully crystalline nanosheet stack 102’ is formed.
  • Each nanosheet 101’ in the stack 102’ includes a gate layer 115’ and a gate dielectric layer 110’ (which define a gate stack 106’), and a channel layer 105’.
  • each of the channel layers 105’ includes a gate layer 115’ on opposite sides thereof and separated therefrom by a respective dielectric layer 110’, such that the channel layers 105’ are individually-gated from above and below.
  • the layers 105’, 110’, 115’ are formed using semiconductor materials having substantially lattice-matched crystal structures.
  • the gate layer 115’ is formed using heavily doped (n+) AlSb
  • the dielectric layer 110’ is formed using intrinsic ZnTe (or other wide-bandgap II-VI semiconductor)
  • the channel layer 105’ is formed using intrinsic (or lightly doped) InAs.
  • the channel layers 105’ may be relatively thin (e.g., from about 2 nm to about 10nm in thickness) for good electrostatic control, thereby forming multiple quantum wells.
  • Multiple nanosheets 101’ may be formed (for example, by alternating heteroepitaxial growth of the layers 105’, 110’, 115’) to define a stack including as many channel regions 105’ as may be desired, for example, to meet current and/or layout area constraints.
  • a substantially or fully crystalline nanosheet stack 102’ in accordance with embodiments of the inventive concepts may largely reduce SR scattering, even without the use of buffer layers.
  • the absence or omission of buffer layers may improve short-channel performance, providing devices suitable for sub-10nm integration.
  • FET devices according to embodiments of the inventive concepts may thus have high mobility, good short-channel behavior, and excellent parasitic resistance, in addition to the low density of states in the channel(s) and correspondingly low capacitance found in other III-V devices.
  • devices according to embodiments of the inventive concepts may excel in or otherwise provide improved CV/I metrics.
  • the low charge sheet density of the inversion layer in the channel regions 105’ may also allow the use of a heavily doped polycrystalline gate contact (rather than a metal gate contact) to surround the fully crystalline stack 102’ on multiple sides thereof, which may simplify manufacturing processes (as discussed below with reference to FIG. 6), since the associated low charge density in the heavily doped gate contact may result in a very thin depletion layer (and consequently may not significantly degrade electrostatic performance).
  • the channel regions 105’ should be insulated from contact with any gates or metal layers.
  • a selective isotropic etch of the channel regions 105’ is performed.
  • the etchant is selected to remove portions of the channel region 105’ at sidewalls of the nanosheet stack 102’, without substantially removing or otherwise damaging the gate layers 115’ and/or gate dielectric layers 110’.
  • acetic acid and hydrogen peroxide may be used as etchants.
  • etch chemistries may be used to selectively etch the channel layers 105’ without substantially etching the gate layers 115’ and/or gate dielectric layers 110’, depending on the particular materials thereof.
  • sidewalls of the channel regions 105’ are selectively recessed relative to the sidewalls of the nanosheet stack 102’, defining recessed regions 105r’.
  • an insulating layer 420’ is deposited or otherwise formed on the sidewalls and upper surface of the nanosheet stack.
  • the insulating layer 420’ may be an oxide or other amorphous layer, and may be formed on the stack 102’ to substantially fill the recessed regions 105r’ at the sidewalls of the channel layers 105’.
  • an etching process is performed to remove the insulating layer 420’ from the upper surface and sidewalls of the nanosheet stack 102’.
  • a plasma etching process may be used to remove the oxide layer.
  • portions of the insulating layer 420’ may remain in the recessed regions 105r’ at the sidewalls of the channel layers 105’. These remaining portions 420r’ of the insulating layer 420’ may electrically isolate the channel layers 105’ from one or more conductive layers formed in subsequent processes.
  • a gate contact layer 615’ is selectively formed on portions of the upper surface and sidewalls of the nanosheet stack 102’.
  • the gate contact layer may also be referred to herein as a secondary or top gate 615’.
  • the gate contact layer 615’ may thereby “wrap” the entire nanosheet stack 102’, providing electrical contact to each of the gate layers 115’ of the stack 102’, allowing for collective control thereof.
  • the channel layers 105’ may be electrically isolated from the gate contact 615’ by the remaining portions 420r’ of the insulating layer 420’ at the sidewalls thereof.
  • the gate contact layer 615’ may contact the AlSb gate layers 115’ at sidewalls thereof, but may be separated and electrically isolated from the InAs channels 105’ by the remaining insulating layer portions 420r’.
  • the gate contact layer 615’ may include metal or semiconductor materials.
  • a polycrystalline semiconductor material may be used as the gate contact 615’ in some embodiments.
  • the polycrystalline gate contact 615’ may be heavily doped, and the relatively low charge density in the heavily doped gate contact 615’ may result in a relatively thin depletion layer (and consequently, may not significantly degrade electrostatic performance of the device).
  • the absence of metal in the gate contact layer 615’ may also simplify manufacturing processes.
  • a metal material may be used as the gate contact 615’ for improved control and/or performance.
  • the polycrystalline gate contact 615’ may be replaced by metal at the end of or after processing operations as described herein in some embodiments.
  • FIGS. 7-12 are cross sectional views further illustrating methods of fabricating FET devices in accordance with some embodiments of the present inventive concepts, taken along line C-C’ of FIG. 1A.
  • the operations of FIGS. 7-12 may be performed after formation of the gate contact layer 615’ in FIG. 6.
  • the channel layers 105’ remain electrically insulated by the remaining insulating layer portions 420r’ in the recessed regions 105r’ thereof.
  • an etching process is performed to remove portions of the nanosheet stack 102’ at which the source/drain regions will be formed in subsequent operations.
  • the nanosheet stack 102’ is patterned (for example, using a mask) and etched to remove portions thereof 103’ at opposite sides of the channel layers 105’.
  • a selective etch of the gate layers 115’ is performed.
  • the etchant is selected to selectively remove portions of the gate layers 115’ without substantially removing or otherwise damaging the gate dielectric layers 110’ and/or the channel layers 105’.
  • hydrogen fluoride, hydrogen peroxide and lactic acid, and/or AZ400K may be used as etchants.
  • other etch chemistries may be used to selectively etch the gate layers 115’ without substantially etching the gate dielectric 110’ and or channel layers 105’, depending on the particular materials thereof.
  • sidewalls of the gate layers 115’ are selectively recessed relative to the sidewalls of the nanosheet stack 102’ to define recessed regions 115r’.
  • an insulating layer 1020’ is deposited or otherwise formed on the sidewalls and upper surface of the nanosheet stack 102’.
  • the insulating layer 1020’ may be an oxide or other amorphous layer, and may be formed on and/or substantially fill the recessed regions 115r’ at the sidewalls of the gate layers 115’.
  • an etching process is performed to remove the insulating layer 1020’ from the upper surface and sidewalls of the nanosheet stack 102’.
  • a plasma etching process may be used to remove the oxide layer.
  • portions 1020r’ of the insulating layer 1020’ may remain in the recessed regions 115r’ at the sidewalls of the gate layers 115’. These remaining portions 1020r’ of the insulating layer may electrically isolate the gate layers 115’ from the source/drain regions formed in subsequent processes.
  • InAs source/drain regions 105s’/105d’ are formed at opposite sides of the InAs channel layers 105’ in the nanosheet stack 102’ to complete the FET 100’.
  • the source/drain regions 105s’/105d’ may be formed by an epitaxial regrowth process.
  • in-situ doped n+ InAs regions are epitaxially grown on opposite sides of the channel layers 105’ (that is, at the regions 103’ of the nanosheet stack that were patterned and etched in FIG. 8). As such, the source/drain regions 105s’/105d’ may contact the channel layers 105’ at sidewalls thereof.
  • the remaining insulating layer portions 1020r’ in the recessed regions 115r’ at the sidewalls of the gate layers 115’ electrically isolate the source/drain regions 105s’/105d’ from the gate layers 115’.
  • FIG. 12 illustrates that the InAs source and drain regions 105s’ and 105d’ may contact the InAs channels 105’, but may be separated and electrically isolated from the AlSb gates 115’ by the remaining insulating layer portions 1020r’.
  • the InAs channel layer 105’/ZnTe dielectric layer 110’/AlSb gate layer 115’ nanosheet stack 102’ described herein may be selected to provide a reduced or minimal lattice mismatch between the layers 105’, 110’, and 115’.
  • a small amount of mismatch may be used, resulting in strain in the layers 105’, 110’, and/or 115’, provided that the layers are thin enough (or the strain is small enough) to reduce or prevent relaxation (and the consequent introduction of defects).
  • gallium antimonide GaSb
  • InSb indium antimonide
  • InAs may be used to create ohmic contacts at the source and drain regions 105s and 105d, which may result in lower or reduced parasitic resistance.
  • Embodiments of the present inventive concepts may provide several advantages.
  • devices described herein may offer a high-mobility channel, since SR scattering is largely reduced and/or eliminated by the absence of amorphous layers at the interface between the channel layer 105 and the gate stack 106.
  • short-channel performance may be compatible with sub-10nm scaling, due to an absence of buffer layers that increase the effective gate oxide thickness.
  • top gate 615 surrounding (or “wrapping”) the stack 102 of nanosheets 101 can be metal or polycrystalline semiconductor.
  • a polycrystalline top gate 615 may be used in some embodiments with little electrostatic penalty given the expected low charge sheet densities, and the absence of metal in the top gate 615 may simplify fabrication processes. In other embodiments, however, metal may be used as the top gate 615 to provide improved control and/or performance.
  • Some embodiments of the inventive concepts may thus provide a high-performance multi-channel III-V FinFET, where each channel is individually gated. No crystalline buffer layers may be used, allowing for high mobility and good (i.e., thinner) EOT. FinFET devices as described herein may also be fabricated using some existing processing operations. Features of specific example embodiments of the present inventive concepts are noted below:
  • a FET including a substantially or fully crystalline stack of multiple lattice-matched layers, the multiple lattice-matched layers forming individually-gated conduction channels.
  • a FET as in (1), with a subset of the multiple lattice-matched layers forming crystalline conduction channels, a subset of the multiple lattice-matched layers forming crystalline gate dielectrics, and a subset of the multiple lattice-matched layers forming crystalline first gate electrodes, each crystalline conduction channel in the substantially or fully crystalline stack partially or completely surrounded by a crystalline gate dielectric and a crystalline first gate electrode.
  • a FET as in (2), with the conduction channels formed of InAs, the gate dielectrics formed of ZnTe, and the first gate electrodes comprised of AlSb.
  • a FET as in (4) further comprising a finFET, the finFET formed with a second gate electrode wrapping around the substantially or fully crystalline stack of multiple lattice-matched layers, the second gate electrode selectively contacting the first gate electrodes, the second gate electrode and first gate electrodes forming gate-all-around structures, the gate-all-around structures surrounding the individually-gated conduction channels.
  • a finFET as in (8) including a high mobility conduction channel, the high mobility due to reduction or substantial elimination of surface-roughness scattering in the regions above and below each conduction channel.
  • a method of forming a finFET as in (8) including forming a substantially or fully crystalline stack of multiple lattice-matched layers, forming a second gate electrode wrapping around the crystalline stack of multiple lattice-matched layers, the second gate electrode selectively contacting the first gate electrodes, and forming a source/drain electrode selectively contacting the conduction channels.
  • field effect transistors in accordance with some embodiments of the inventive concepts can simultaneously achieve the formerly competing goals of high channel mobility (e.g., due to the substantial absence of amorphous or noncrystalline layers on the channel region) and improved short channel performance (e.g., due to the absence of crystalline buffer layers between the channel region and the gate stack, which may increase the effective the gate oxide thickness).
  • embodiments of the inventive concepts may reduce and/or eliminate the mobility-EOT trade-off.
  • inventive concepts may arise from a realization that crystalline materials on and/or around the channel region to suppress SR scattering may be used in conjunction with strained layers in Group IV MOSFETs to provide high mobility, which may exceed that of some III-V devices.
  • Embodiments of the present inventive concept as described in detail below provide a strained nanosheet structure usable in field effect transistor (FET) applications, such as MOSFETs, and auxiliary components and host devices using such FETs. Such embodiments may also facilitate the retention of strain in the channel layers of the nanosheet stack, as well as the manufacture of nanosheets having widths of 30 nanometers (nm) or more, or more than 40nm, which may be wider than can be realistically achieved using some conventional etch-and-fill methods.
  • FET field effect transistor
  • sheet width may be limited by high-k and/or metal fill, while for the Si/SiGe system, sheet width may be further limited by etch selectivity between sacrificial materials and channel materials (rather than or in addition to high-k / metal fill).
  • a Group IV channel FET in which the body or channel layer and gate stack are formed substantially or entirely of monocrystalline, lattice mismatched solid-state material layers.
  • a multi-channel Si/SiGe MOSFET is implemented as a fully crystalline stack of alternating layers of Si, SiGe, and a crystalline insulator (for example, calcium fluoride (CaF2)).
  • the channels may be silicon (Si)
  • the gates may be heavily doped silicon germanium (SiGe).
  • the channels may be SiGe, and the gates may be heavily doped Si.
  • the stack structure is strained throughout due to lattice mismatch between the respective crystal structures of the channel and gate layer materials, increasing channel mobility for both nFETs and pFETs.
  • the absence of an interface to an amorphous or non-crystalline dielectric layer largely suppresses surface roughness scattering (SR), improving channel mobility.
  • SR surface roughness scattering
  • the epitaxial nature of the growth and the absence of the requirement of deep and highly selective lateral (undercut) etches can allow for the fabrication of nanosheet structures having heights and/or widths that are free of the constraints typically found in the standard nanosheet processing.
  • Devices in accordance with embodiments of the inventive concepts may thus provide a significant improvement in both the DC and AC characteristics, relative to some conventional (undercut etched) nanosheets and FinFETs.
  • Some channel thicknesses that may be achieved in accordance with embodiments of the inventive concepts may be in the range of about 4nm to 6nm, with a combined dielectric layer and gate layer thickness of about 9nm to 10nm. Thicker channels may be possible; however, embodiments of the inventive concepts can enable high performance with thin channels.
  • FIG. 13 is a perspective view illustrating FET devices including a crystalline channel, gate, and dielectric layers in accordance with some embodiments of the present inventive concepts.
  • FIGS. 14A and 14B are cross sectional views taken along lines A-A’ and B-B’ of FIG. 13, respectively, illustrating n-type FETs in accordance with further embodiments of the present inventive concepts
  • FIGS. 15A and 15B are cross sectional views taken along lines A-A’ and B-B’ of FIG. 13, respectively, illustrating p-type FETs in accordance with further embodiments of the present inventive concepts.
  • field effect transistors (FETs) 1300/1300’/1300” in accordance with some embodiments of the present inventive concepts include a structure 1302 having a semiconductor active or body layer defining a transistor channel layer or region 1305, and a semiconductor gate stack 1306 on the channel region 1305.
  • the gate stack 1306 includes a crystalline gate dielectric layer 1310 and a crystalline gate layer 1315 (also referred to herein as a primary gate layer or first gate electrode) thereon.
  • the crystalline gate dielectric layer 1310 may be an undoped, high-k, wide bandgap semiconductor or insulator, while the crystalline gate layer 115 may be a highly doped, moderate bandgap semiconductor.
  • the structure 1302 may include a silicon (Si) channel region 1305’, a calcium fluoride (CaF2), zinc sulfide (ZnS), praseodymium oxide (Pr2O3), and/or gadolinium oxide (Gd2O3) gate dielectric layer 1310’, and a highly-doped silicon germanium (n++ SiGe) gate layer 1315’, all of which may be monocrystalline in some embodiments.
  • the channel region 1305’ may be under tensile strain (t-Si), while the gate layer 1315’ may be under compressive strain (c-SiGe).
  • the structure 1302 may include a silicon germanium (SiGe) channel region 1305”, a calcium fluoride (CaF2), zinc sulfide (ZnS), praseodymium oxide (Pr2O3), and/or gadolinium oxide (Gd2O3) gate dielectric layer 1310”, and a highly-doped silicon (p++ Si) gate layer 1315”, all of which may be monocrystalline in some embodiments.
  • the channel region 1305” may be under compressive strain (c-SiGe), while the gate layer 1315” may be under tensile strain (t-Si).
  • the channel region 1305 is a crystalline semiconductor layer extending between source and drain regions 1305s and 1305d on opposite sides thereof.
  • the source/drain regions 1305s/1305d may be highly doped, providing low contact resistance.
  • the source/drain regions 1305s/1305d may also be formed of crystalline semiconductor materials, and may be formed of the same material as the channel region 1305 (n+ Si 1305s’/1305d’ in FIGS. 14A-14B; p++ SiGe 1305s”/1305d” in FIGS. 15A-15B) in some embodiments.
  • the source/drain regions 1305s/1305d may also be partly formed of metal 1308s/1308d for lower resistance in some embodiments.
  • a structure including the channel layer 1305, gate dielectric layer 1310, and gate layer 1315 defines an individually gated channel region, also referred to herein as a nanosheet 1301, which is repeated to define a plurality of stacked, individually gated channel regions, also referred to herein as a nanosheet stack 1302.
  • the nanosheet stack 1302 is thus a three-dimensional structure that may be formed on (e.g., as a protruding fin on a surface of) or within (e.g., in a trench defined in) a substrate 1307, and may include any number/amount of individually-gated channels 1305, for example, based on a desired application and/or associated stack height, such as to provide a desired current density.
  • the strain in the channels 1305 may be maintained throughout the stack 1302 regardless or independent of the stack height, as the strain source (that is, the lattice mismatch between the channels 1305 and the underlying/overlying gate layers 1315) persists throughout the stack 1302.
  • Each of the channel layers 1305 may be relatively thin (i.e., less than about 10 nanometers in thickness), allowing for improved electrostatic control.
  • the substrate 1307 may, for example, be a silicon substrate, a silicon-on-insulator (SOI) substrate, or other substrate.
  • the use of crystalline materials for the gate dielectric layer 1310, the gate layer 1315, and the channel region 1305 allows for an almost entirely crystalline nanosheet stack 1302, with the crystalline gate dielectric layer 1310 being directly on the crystalline channel region 1305.
  • the interface between the channel region 1305 and the gate stack 1306 may thus be free of amorphous or non-crystalline layers, thereby reducing SR scattering due to the lack of interface surface roughness.
  • the transistor 1300 may thereby exhibit very high channel mobility.
  • the interface between the channel region 1305 and the gate stack 1306 may also be free of low-k crystalline buffer layers, which may improve short channel performance of the transistor 1300 by improving (i.e., reducing) the equivalent oxide thickness (EOT), as only the relatively thin gate dielectric layer 1310 (e.g., about 2-3 nm in thickness) may separate the gate layer 1315 from the channel region 1305.
  • EOT equivalent oxide thickness
  • the fully crystalline nanosheet stack 1302 (including crystalline materials for the gate dielectric layer 1310, the gate layer 1315, and the channel region 1305) allows for fabrication of strained channel regions having stack widths and/or heights beyond that which may be achieved by some conventional methods.
  • a conventional undercut/lateral etch and refill of one nanosheet material (which may relax strain between layers) can be avoided, allowing for strain to be preserved independent of the stack height, and allowing for stack widths that are not limited by lateral etching constraints.
  • embodiments of the present inventive concepts may allow for stack widths of greater than about 100 nm or more, and/or stack heights of greater than about 100 nm or more, which may not be achieved by some conventional methods.
  • stack widths of greater than about 100 nm or more
  • stack heights of greater than about 100 nm or more, which may not be achieved by some conventional methods.
  • a 6-layer stack of 5 nm nanosheets (with 7 gate layers around them, 10 nm thick each) may provide a stack height of about 100 nm, which may not be achieved using some conventional etch-and-fill methods.
  • each of the channel regions 1305/1305’/1305” includes a gate stack 1306 thereabove and therebelow (that is, on opposing surfaces of the channel region), allowing for improved control.
  • the two-dimensional electron gas (2DEG) in each Si channel region 1305’ or SiGe channel region 1305” may be gated from above (i.e., on top of the channel 1305’/1305”) and below (i.e., at the bottom of the channel 1305’/1305”).
  • each of the layers 1305, 1310, 1315 of the nanosheet stack 1302 may have respective crystal structures that are lattice mismatched with the layer therebelow.
  • the layers 1305, 1310, and/or 1315 may be lattice mismatched heteroepitaxial layers.
  • the transistors 1300/1300’/1300” also include a metal or polycrystalline gate contact layer (also referred to herein as a secondary gate layer or second gate electrode) 2015/2015’/2015” on an upper surface and opposing sidewalls (that is, on at least three sides) of nanosheet stack 1302.
  • the gate contact layer 2015’ may be SiGe for the nFET 1300’, while the gate contact layer 2015” may be Si for the pFET 1300”.
  • the gate contact layer 2015 electrically contacts each of the gate layers 1315 in the nanosheet stack 1302 such that multiple gates 1315 may be controlled by a single gate electrode/contact 2015, defining a multi-gate, multi-channel device. Wraparound spacers 1390 may also be provided on opposite sides of the gate contact layer 2015/2015’/2015”.
  • Physical properties of a nanosheet stack 1302 may include, but are not limited to: a fully crystalline, lattice mismatched, multi-channel structure including crystalline semiconductor channels 1305, gate dielectric layers 1310, and gate layers 1315; each channel 1305 is gated at top and bottom, where the gates 1315 are electrically contacted by a common gate contact 2015; a CaF2, ZnS, Pr2O3, and/or Gd2O3 gate dielectric layer 1310 having a wide bandgap with moderately high-k; Si/SiGe layers epitaxially grown on the gate dielectric layer 1310; multiple channel layers 1305, as many as desired for a particular application; isolation between channel 1305 and gate layers 1315 by a dielectric layer (such as SiO2); isolation between the gate layers 1315 and the source/drain regions 1305s/1305d by a dielectric layer (such as SiO2); highly-doped gate monocrystalline layers 1315; lightly-doped (or intrinsic) channels 1305; and
  • Electrical properties of a nanosheet stack 1302 may include, but are not limited to: the 2DEG in each Si or SiGe channel layer 1305’ or 1305” can be controlled from above and below by respective gate layers 1315’ or 1315”; reduced SR scattering due to the absence/omission of surface roughness/amorphous layers at the interface between the channel layer 1305 and the gate dielectric layer 1310, (with only small amounts of amorphous insulating layers 1920r present at sidewalls of the channel layer 1305 for insulation from the gate contact 2015); high tensile strain in Si channels (or high compressive strain in SiGe channels), with reduced or minimal relaxation due to maintaining the strain sources along and throughout the stack height; high channel mobility, without the use of buffer layers (due to the absence of amorphous layers at the interface between the channel layer 1305 and the gate dielectric layer 1310); moderate inversion charge/low capacitance due to gate depletion; and low parasitic resistance (Rpara) due to the large contact area
  • the magnitude of strain in the channel layers can depend on the relative thickness of the channel and dielectric and gate layers, as well as the lattice constant difference of the channel and gate stack (rather than absolute thickness).
  • a thickness ratio of 1 may results in about 1.5GPa of stress in the channel.
  • stresses in the range 2.5-3GPa may be possible; the layers may therefore be kept thin (for example, a critical thickness of less than 20 nm) to reduce or prevent relaxation.
  • the nanosheet stack 1302 may define a three-dimensional fin-shaped active region protruding from a substrate, with the gate contact layer 2015 on an upper surface and sidewalls thereof, in a finFET structure.
  • the nanosheet stack 1302 may be similarly formed within a trench structure in a substrate, with the gate contact 2015 extending along at least sidewalls of the trench between the substrate and the nanosheet stack 1302.
  • the gate contact 2015 may also extend on a top surface of the nanosheet stack in a gate-all-around (GAA) FET structure.
  • GAA gate-all-around
  • FIGS. 16-20 are cross sectional views illustrating methods of fabricating nFET devices as shown in FIGS. 14A-14B in accordance with some embodiments of the present inventive concepts, where FIGS. 16-20 are taken along line A-A’ of FIG. 13.
  • the methods of FIGS. 16-20 may be similarly applied to fabricate pFET devices as shown in FIGS. 15A-15B by using the materials shown therein. That is, while illustrated with reference to nFET fabrication, it will be understood that the illustrated fabrication steps may be similarly applied to pFET fabrication by substitution of the corresponding material layers.
  • a substantially or fully crystalline nanosheet stack 102’ is formed.
  • Each nanosheet 1301 in the stack 1302 includes a crystalline gate layer 1315’ and a crystalline gate dielectric layer 1310’ (which define a gate stack 1306), and a crystalline channel layer 1305. All of the layers 1305’, 1310’, 1315’ are formed using crystalline semiconductors or insulators.
  • each of the channel layers 1305’ includes a gate layer 1315’ on opposite sides thereof and separated therefrom by a respective dielectric layer 1310’, such that the channel layers 1305’ are individually-gated from above and below.
  • the layers 1305’, 1310’, 1315’ are formed using semiconductor materials having lattice-mismatched crystal structures.
  • the gate layer 1315’ is formed using heavily doped (n++) SiGe
  • the crystalline dielectric 1310’ is formed using one of CaF2, ZnS, Pr2O3, or Gd2O3
  • the channel 1305’ is formed using intrinsic (or lightly doped) Si.
  • the gate layer 1315’ may be formed using heavily doped Si
  • the crystalline dielectric 1310’ may be formed using one of CaF2, ZnS, Pr2O3, or Gd2O3
  • the channel 1305’ may be formed using intrinsic (or lightly doped) SiGe.
  • the channel layers 1305’ are thin (on the order of a few nm in one embodiment) for good electrostatic control, thereby forming quantum wells. Multiple nanosheets 1301 may be used to obtain a desired current/layout area.
  • a substantially or fully crystalline nanosheet stack 1302 in accordance with embodiments of the inventive concepts may largely reduce or eliminate surface roughness (SR) scattering, due to the absence of amorphous/non-crystalline layers at the interface between the channel region 1305 and the gate stack 1306. Furthermore, the lattice mismatch between the Si and SiGe layers induces strain in the entire stack. The SiGe layers are compressively strained, while the Si layers have tensile strain. As the stack is fully-crystalline stack, strain can be preserved even in taller stacks (i.e., independent of stack height), since the strain source (lattice mismatch) persists along and throughout the height of the stack.
  • SR surface roughness
  • devices according to embodiments of the inventive concepts may provide improved current density and reduced capacitance, excelling in the CV/I metric.
  • the number of nanosheets can be tailored to the circuit application, with a larger number of sheets used to drive BEOL (back end of line) loaded portions of the circuit, and a smaller number of sheets for gate-loaded, small-fanout applications.
  • Methods of manufacturing devices as described herein can address some challenges with respect to formation of contacts to the 3-D nanosheet stack, as the source and drain regions 1305s’/1305d’ should electrically contact the channels 1305’but not the gate layers 1315’ (as shown in FIG. 14B), while the wraparound or secondary gate 2015 should electrically contact the gate layers 1315’ but not the channels 1305’ (as shown in FIG. 14A).
  • a selective isotropic etch of the channel regions 1305’ is performed in order to form contacts to the three-dimensional nanosheet stack 1302 such that the channel regions 1305’ are insulated from contact with any gates or metal layers.
  • the etchant is selected to remove portions of the channel region 1305’ at sidewalls of the nanosheet stack 1302, without substantially removing or otherwise damaging the gate layers 1315’ and/or gate dielectric layers 1310’, depending on the particular materials thereof. For example, some conventional etch chemistries for selective etching of Si/SiGe may be used, and exceedingly high selectivity may not be required to provide such a shallow etch. As such, sidewalls of the channel regions 1305’ are selectively recessed relative to the sidewalls of the nanosheet stack 1302, defining recessed regions 1305r’.
  • an insulating layer 1820 is deposited or otherwise formed on the sidewalls and upper surface of the nanosheet stack.
  • the insulating layer 1820 may be an oxide or other amorphous layer, and may be formed on the stack 1302 to substantially fill the recessed regions 1305r’ at the sidewalls of the channel layers 1305’.
  • the insulating layer 1820 may be a low-k dielectric layer, such as silicon dioxide (SiO2).
  • an etching process is performed to remove the insulating layer 1820 from the upper surface and sidewalls of the nanosheet stack 1302.
  • a plasma etching process may be used to remove the oxide layer.
  • portions of the insulating layer 1820 may remain in the recessed regions 1305r’ at the sidewalls of the channel layers 1305’. These remaining portions 1820r of the insulating layer 1820 may electrically isolate the channel layers 1305’ from one or more conductive layers formed in subsequent processes.
  • a gate contact layer 2015’ is selectively formed on portions of the upper surface and sidewalls of the nanosheet stack 1302.
  • the gate contact layer may also be referred to herein as a secondary or top gate 2015’.
  • the gate contact layer 2015’ may thereby “wrap” the entire nanosheet stack 1302, providing electrical contact to each of the gate layers 1315’ of the stack 1302, allowing for collective control thereof.
  • the channel layers 1305’ may be electrically isolated from the gate contact 1315’ by the remaining portions 1820r of the insulating layer 1820 at the sidewalls thereof.
  • the gate contact layer 2015’ may contact the SiGe gate layers 1315’ at sidewalls thereof, but may be separated and electrically isolated from the Si channels 1305’ by the remaining insulating layer portions 1820r.
  • the gate contact layer 2015’ may include metal or semiconductor materials.
  • a polycrystalline semiconductor material may be used as the gate contact 2015’ in some embodiments.
  • the polycrystalline gate contact 2015’ may be heavily doped, and the relatively low charge density in the heavily doped gate contact 2015’ may result in a relatively thin depletion layer (and consequently, may not significantly degrade electrostatic performance of the device).
  • the gate contact layer 2015’ may be SiGe for an nFET, while the gate contact layer 2015” may be Si for a pFET.
  • the absence of metal in the gate contact layer 2015’ may also simplify manufacturing processes.
  • a metal material may be used as the gate contact 2015’ for improved control and/or performance.
  • the polycrystalline gate contact 2015’ may be replaced by metal at the end of or after processing operations as described herein in some embodiments.
  • FIGS. 21-26 are cross sectional views further illustrating methods of fabricating nFET devices in accordance with some embodiments of the present inventive concepts, taken along line B-B’ of FIG. 13.
  • the operations of Figures 21-26 may be performed after formation of the gate contact layer 2015’ in FIG. 20.
  • sidewalls of the channel layers 1305’ remain electrically insulated by the remaining insulating layer portions 1820r in the recessed regions 1305r’ thereof.
  • an etching process is performed to remove portions of the nanosheet stack 1302 at which the source/drain regions will be formed in subsequent operations.
  • the nanosheet stack 1302 is patterned (for example, using a mask) and etched to remove portions thereof 1303’ at opposite sides of the channel layers 1305’.
  • a selective isotropic etch of the gate layers 1315’ is performed.
  • the etchant is selected to selectively remove portions of the gate layers 1315’ without substantially removing or otherwise damaging the gate dielectric layers 1310’ and/or the channel layers 1305’, depending on the particular materials thereof.
  • sidewalls of the gate layers 1315’ are selectively recessed relative to the sidewalls of the nanosheet stack 1302 to define recessed regions 1315r’.
  • an insulating layer 2420 is deposited or otherwise formed on the sidewalls and upper surface of the nanosheet stack 1302.
  • the insulating layer 2420 may be an oxide or other amorphous layer, and may be formed on and/or substantially fill the recessed regions 1315r’ at the sidewalls of the gate layers 1315’.
  • the insulating layer 2420 may be a low-k dielectric layer, such as silicon dioxide (SiO2).
  • an etching process is performed to remove the insulating layer 2420 from the upper surface and sidewalls of the nanosheet stack 1302.
  • a plasma etching process may be used to remove the oxide layer.
  • portions 2420r of the insulating layer 2420 may remain in the recessed regions 1315r’ at the sidewalls of the gate layers 1315’. These remaining portions 2420r of the insulating layer may electrically isolate the gate layers 1315’ from the source/drain regions formed in subsequent processes.
  • n++ Si source/drain regions 1305s’/1305d’ are formed at opposite sides of the Si channel layers 1305’ in the nanosheet stack 1302’ to complete the nFET 1300’.
  • the source/drain regions 1305s’/1305d’ may be formed by an epitaxial regrowth process.
  • in-situ doped n+ Si regions 1305s’/1305d’ are epitaxially grown on opposite sides of the Si channel layers 1305’ (that is, at the regions 1303’ of the nanosheet stack that were patterned and etched in FIG. 21).
  • a pFET device 1300 as shown in FIG.
  • in-situ doped p++SiGe regions 1305s”/1305d may be epitaxially grown on opposite sides of the SiGe channel layers 1305”.
  • the source/drain regions 1305s’/1305d’ may contact the channel layers 1305’ at sidewalls thereof.
  • the remaining insulating layer portions 2420r in the recessed regions 1315r’ at the sidewalls of the gate layers 1315’ electrically isolate the source/drain regions 1305s’/1305d’ from the gate layers 1315’.
  • FIG. 26 illustrates that the Si source and drain regions 1305s’ and 1305d’ may contact the Si channels 1305’, but may be separated and electrically isolated from the SiGe gates 1315’ by the remaining insulating layer portions 2420r.
  • strain sources material interfaces
  • the placement of the strain sources (material interfaces) between the gate layers 1315’ and the channel regions 1305’ across or throughout the entire height of the stack 1302 can reduce or prevent strain loss due to the source/drain recessing shown in FIG. 22. Therefore, a relatively deep source/drain recess may be performed, and a majority of or an entire vertical sidewall of the remaining source/drain epitaxial layers may be silicided to define the metal source/drain regions 1307s/1307d shown in FIGS. 13, 14B, and 15B. This can provide a relatively large contact area, reducing the overall parasitic resistance.
  • a p-type finFET 1300 (as shown for example in FIGS. 15A-15B) may be formed by substantially similar fabrication techniques as illustrated in FIGS. 16-26, except where the channel layer or region 1305” is formed of SiGe, the gate layer 1315” is formed of Si, and the source/drain regions 1305s”/1305d” are formed of SiGe.
  • lattice mismatch between the channel layers 1305’/1305” and the gate layers 1315’/1315” is used to create strain (compressive strain in the SiGe layers; tensile strain in the Si layers).
  • Embodiments of the present inventive concepts may provide several advantages. For instance, devices described herein may provide high-mobility channels, since SR scattering can be largely reduced and/or eliminated (by the absence of amorphous layers at the interface between the channel layer 1305 and the gate stack 1306), and since high strain in the channel layers 1305 is maintained. Also, nanosheet widths in accordance with embodiments of the inventive concepts may not limited by undercut etch selectivity to the nanosheet materials or by limitations of metal fill of undercut regions, in contrast to some conventional nanosheets formed by an undercut etch of one nanosheet material relative to the other nanosheet material followed by metal refill of the undercut regions. Furthermore, as embodiments of the inventive concepts allow for precise control of the thin channel layers, devices described herein can be scaled to short-Lg (gate length) technologies.
  • the substrate can include any semiconducting material, including, but not limited to semiconductors such as GaAs and InAs or Si-containing materials such as Si, bulk Si, single crystal Si, polycrystalline Si, SiGe, amorphous Si, silicon-on-insulator (SOI), SiGe-on-insulator (SGOI), strained-silicon-on-insulator, annealed poly-Si, and/or others.
  • semiconductors such as GaAs and InAs or Si-containing materials
  • Si bulk Si, single crystal Si, polycrystalline Si, SiGe, amorphous Si, silicon-on-insulator (SOI), SiGe-on-insulator (SGOI), strained-silicon-on-insulator, annealed poly-Si, and/or others.
  • layers such as the gate dielectric layer can be formed using conventional techniques such as chemical vapor deposition (CVD), atomic layer deposition (ALD), pulsed CVD, plasma assisted CVD, sputtering, e-beam deposition, and/or solution-based deposition, and/or can be formed using a thermal growth process which may include oxidation, oxynitridation, nitridation, and/or plasma treatment.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • plasma assisted CVD plasma assisted CVD
  • sputtering e-beam deposition
  • solution-based deposition e-beam deposition
  • thermal growth process which may include oxidation, oxynitridation, nitridation, and/or plasma treatment.
  • the gate structure can be fabricated by utilizing some conventional processes, for example, a hard mask may be formed atop the layer of semiconductor material by utilizing conventional deposition processes such as chemical vapor deposition (CVD), plasma-assisted CVD, or sputtering, and/or the hard mask may be grown on a semiconductor body utilizing a conventional thermal oxidation process, followed by a nitridation process.
  • CVD chemical vapor deposition
  • sputtering a conventional thermal oxidation process
  • Patterning may be performed by utilizing conventional lithography and etching.
  • the lithographic process may include applying a photoresist, exposing the photoresist to a pattern of radiation, and developing the pattern into the photoresist utilizing conventional resist developer.
  • the exposed portions may be removed utilizing an etching process that is highly selective in removing the radiation exposed portions.
  • etching Suitable types of etching that can be employed in forming the patterned layer include, but are not limited to, reactive-ion etching (RIE), plasma etching (e.g. ion milling), and/or laser ablation.
  • RIE reactive-ion etching
  • plasma etching e.g. ion milling
  • laser ablation e.g. ion milling
  • a conventional implantation process may be performed so as to form source/drain implant regions in the structure in areas adjacent to, for example channel regions within a fin.
  • the doping may be either n- or p-type.
  • the exposed areas adjacent to the fin may be doped using different implant species, such as arsenic (As) and/or boron (B), so as to form source/drain regions which have donor or acceptor impurities, respectively.
  • a FET includes a fully crystalline stack of multiple crystalline Si/SiGe and insulating layers, the multiple layers forming individually-gated conduction channels.
  • a FET includes a fully crystalline stack of multiple crystalline Si/SiGe and insulating layers, including a fully crystalline stack of multiple strained crystalline Si/SiGe and insulating layers.
  • a FET includes a subset of the multiple strained layers forming lightly-doped crystalline conduction channels, a subset of the multiple strained layers forming undoped crystalline gate dielectrics, and a subset of the strained layers forming heavily doped crystalline gate electrodes, each crystalline conduction channel in the fully crystalline stack surrounded by a crystalline gate dielectric and crystalline first gate electrode.
  • an nFET includes conduction channels formed of Si, the crystalline gate dielectrics formed by CaF2, ZnS, Pr2O3, or Gd2O3, and the first gate electrodes comprised of SiGe.
  • a pFET includes conduction channels formed by SiGe, the gate dielectrics formed by CaF2, ZnS, Pr2O3, or Gd2O3, and the first gate electrodes comprised of Si.
  • a FET includes a nanosheet FET, where the nanosheet FET is formed with a second gate electrode wrapping around the fully crystalline stack of multiple layers, the second gate electrode only selectively contacting the first gate electrodes, the second gate electrode and first gate electrodes forming gate-all-around structures, the gate-all-around structures fully surrounding the individually-gated conduction channels.
  • a FET includes a second gate electrode formed of a metal or polycrystalline semiconductor.
  • a FET includes a nanosheet FET formed with a source/drain electrode, the source/drain electrode selectively contacting the conduction channels without contacting the gate electrodes.
  • an nFET includes a source/drain electrode formed of any of the following, but not exclusive to: Si, C, n-type dopants, and metal.
  • a pFET includes a source/drain electrode formed of any of the following, but not exclusive to: SiGe, C, p-type dopants, and metal.
  • a nanosheet FET includes a high mobility conduction channel, the high mobility due to substantial elimination of surface-roughness scattering in the regions above and below each conduction channel.
  • a method of forming a nanosheet FET includes formation of a fully crystalline stack of strained layers, formation of a second gate electrode wrapping around the fully crystalline stack of multiple strained layers, the second gate electrode selectively contacting the first gate electrodes without contacting the conduction channels, and formation of a source/drain electrode selectively contacting the conduction channels without contacting the first gate electrodes.
  • a nanosheet FET includes a SiGe layer, where the Ge% in the SiGe layer is below 100% to provide suitable strain or mobility in the channel layers without excessive defectivity, and substantially below 50% to achieve non-excessive defectivity, and substantially ⁇ 30% to achieve non-excessive defectivity, where the Ge% in the gate regions for nFET not necessarily the same as the Ge% in the channel conduction layer for pFETs.
  • a nanosheet FET may include an nFET device having a gate region where a range of the Ge% in the gate region allows for a mobility benefit of up to 30%-50%, depending on the layer thickness, and/or a pFET device having a high Ge% in the channel conduction region (for example, 100% for increased mobility), but band-to-band-tunneling current and the parasitic bipolar effect limit the Ge% to ⁇ 70% (or higher for VDD operation below 0.6V).
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper,” and the like, may be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the FIGS.. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the FIGS.. For example, if the device in the FIGS. is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below.
  • the device may be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein may be interpreted accordingly.
  • a layer when referred to as being "between" two layers, it can be the only layer between the two layers, or one or more intervening layers may also be present.
  • Embodiments are described herein with reference to cross-sectional and/or perspective illustrations that are schematic illustrations of idealized embodiments (and intermediate structures). As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, embodiments should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region.
  • a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place.
  • the regions illustrated in the Figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the present inventive concept.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
PCT/KR2015/005902 2014-06-11 2015-06-11 Crystalline multiple-nanosheet strained channel fets and methods of fabricating the same WO2015190852A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201580029454.4A CN106463543B (zh) 2014-06-11 2015-06-11 结晶多纳米片应变沟道fet及其制造方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462010585P 2014-06-11 2014-06-11
US62/010,585 2014-06-11
US14/729,652 US9570609B2 (en) 2013-11-01 2015-06-03 Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same
US14/729,652 2015-06-03

Publications (1)

Publication Number Publication Date
WO2015190852A1 true WO2015190852A1 (en) 2015-12-17

Family

ID=54833856

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2015/005902 WO2015190852A1 (en) 2014-06-11 2015-06-11 Crystalline multiple-nanosheet strained channel fets and methods of fabricating the same

Country Status (4)

Country Link
KR (1) KR102223971B1 (ko)
CN (1) CN106463543B (ko)
TW (1) TWI685972B (ko)
WO (1) WO2015190852A1 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9905643B1 (en) 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
CN108695377A (zh) * 2017-04-05 2018-10-23 三星电子株式会社 半导体装置
CN109427871A (zh) * 2017-08-29 2019-03-05 三星电子株式会社 半导体装置
US10651291B2 (en) 2017-08-18 2020-05-12 Globalfoundries Inc. Inner spacer formation in a nanosheet field-effect transistor
EP3719851A3 (en) * 2019-03-13 2020-12-30 United Microelectronics Corp. Semiconductor structure and process thereof
WO2023010980A1 (en) * 2021-08-05 2023-02-09 International Business Machines Corporation Complementary field effect transistor devices
US11705504B2 (en) 2021-12-02 2023-07-18 International Business Machines Corporation Stacked nanosheet transistor with defect free channel
CN109427871B (zh) * 2017-08-29 2024-05-31 三星电子株式会社 半导体装置

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9461114B2 (en) * 2014-12-05 2016-10-04 Samsung Electronics Co., Ltd. Semiconductor devices with structures for suppression of parasitic bipolar effect in stacked nanosheet FETs and methods of fabricating the same
CN106409907B (zh) * 2015-08-03 2021-06-08 三星电子株式会社 用于半导体装置的堆叠件及其形成方法
KR102435521B1 (ko) * 2016-02-29 2022-08-23 삼성전자주식회사 반도체 소자
US9978833B2 (en) * 2016-03-11 2018-05-22 Samsung Electronics Co., Ltd. Methods for varied strain on nano-scale field effect transistor devices
KR102384818B1 (ko) 2016-04-25 2022-04-08 어플라이드 머티어리얼스, 인코포레이티드 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성
US9882000B2 (en) * 2016-05-24 2018-01-30 Northrop Grumman Systems Corporation Wrap around gate field effect transistor (WAGFET)
US9853114B1 (en) * 2016-10-24 2017-12-26 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10008603B2 (en) 2016-11-18 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and method of fabrication thereof
EP3369702A1 (en) * 2017-03-03 2018-09-05 IMEC vzw Internal spacers for nanowire semiconductor devices
US9947804B1 (en) * 2017-07-24 2018-04-17 Globalfoundries Inc. Methods of forming nanosheet transistor with dielectric isolation of source-drain regions and related structure
CN207458949U (zh) * 2017-09-26 2018-06-05 京东方科技集团股份有限公司 薄膜晶体管、阵列基板和显示装置
US10566330B2 (en) * 2017-12-11 2020-02-18 Samsung Electronics Co., Ltd. Dielectric separation of partial GAA FETs
US10304833B1 (en) * 2018-02-19 2019-05-28 Globalfoundries Inc. Method of forming complementary nano-sheet/wire transistor devices with same depth contacts
US11101359B2 (en) * 2018-11-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around (GAA) method and devices
US11348803B2 (en) * 2019-05-20 2022-05-31 Applied Materials, Inc. Formation of bottom isolation
KR102183131B1 (ko) * 2019-06-24 2020-11-26 포항공과대학교 산학협력단 에피텍셜 구조를 갖는 소스/드레인 영역이 축소된 전계효과 트랜지스터 및 이의 제조방법
CN112582265B (zh) * 2019-09-27 2023-06-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN112885901B (zh) * 2021-04-29 2021-07-30 中芯集成电路制造(绍兴)有限公司 高电子迁移率晶体管及其形成方法
WO2022241630A1 (zh) * 2021-05-18 2022-11-24 复旦大学 环栅器件及其源漏制备方法、器件制备方法、电子设备
CN113284806B (zh) * 2021-05-18 2022-04-05 复旦大学 环栅器件及其源漏制备方法、器件制备方法、电子设备
WO2023035269A1 (zh) * 2021-09-13 2023-03-16 上海集成电路制造创新中心有限公司 环栅器件及其源漏制备方法、器件制备方法、电子设备
US11837604B2 (en) 2021-09-22 2023-12-05 International Business Machine Corporation Forming stacked nanosheet semiconductor devices with optimal crystalline orientations around devices
US20230086888A1 (en) * 2021-09-23 2023-03-23 International Business Machines Corporation Dual strained semiconductor substrate and patterning

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060043421A1 (en) * 2004-09-01 2006-03-02 International Business Machines Corporation Multi-gate device with high k dielectric for channel top surface
JP2006093717A (ja) * 2004-09-25 2006-04-06 Samsung Electronics Co Ltd 変形されたチャンネル層を有する電界効果トランジスタ及びその製造方法
US20080258203A1 (en) * 2007-04-19 2008-10-23 Thomas Happ Stacked sonos memory
US20100068862A1 (en) * 2005-03-24 2010-03-18 Samsung Electronics Co., Ltd. Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same
US20130270607A1 (en) * 2012-04-11 2013-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Channel System and Method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1555688B1 (en) * 2004-01-17 2009-11-11 Samsung Electronics Co., Ltd. Method of manufacturing a multi-sided-channel finfet transistor
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
KR100674914B1 (ko) * 2004-09-25 2007-01-26 삼성전자주식회사 변형된 채널층을 갖는 모스 트랜지스터 및 그 제조방법
EP1820211B1 (en) * 2004-12-07 2012-08-01 Thunderbird Technologies, Inc. Gate engineered fermi-fets with strained silicon channel and manufacturing method
US20080112784A1 (en) * 2006-11-13 2008-05-15 Rogers Theodore W Load port door with simplified FOUP door sensing and retaining mechanism
TW200913149A (en) * 2007-09-13 2009-03-16 United Microelectronics Corp Fabricating method of semiconductor device
JP4919123B2 (ja) * 2010-03-08 2012-04-18 Tdk株式会社 処理基板収納ポッド及び処理基板収納ポッドの蓋開閉システム
US8889494B2 (en) * 2010-12-29 2014-11-18 Globalfoundries Singapore Pte. Ltd. Finfet
US9214538B2 (en) * 2011-05-16 2015-12-15 Eta Semiconductor Inc. High performance multigate transistor
US8604518B2 (en) * 2011-11-30 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Split-channel transistor and methods for forming the same
US9159823B2 (en) * 2011-12-09 2015-10-13 Intel Corporation Strain compensation in transistors

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060043421A1 (en) * 2004-09-01 2006-03-02 International Business Machines Corporation Multi-gate device with high k dielectric for channel top surface
JP2006093717A (ja) * 2004-09-25 2006-04-06 Samsung Electronics Co Ltd 変形されたチャンネル層を有する電界効果トランジスタ及びその製造方法
US20100068862A1 (en) * 2005-03-24 2010-03-18 Samsung Electronics Co., Ltd. Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same
US20080258203A1 (en) * 2007-04-19 2008-10-23 Thomas Happ Stacked sonos memory
US20130270607A1 (en) * 2012-04-11 2013-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Channel System and Method

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9905643B1 (en) 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US9985138B2 (en) 2016-08-26 2018-05-29 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US10141445B2 (en) 2016-08-26 2018-11-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US10249762B2 (en) 2016-08-26 2019-04-02 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
CN108695377A (zh) * 2017-04-05 2018-10-23 三星电子株式会社 半导体装置
CN108695377B (zh) * 2017-04-05 2024-02-23 三星电子株式会社 半导体装置
US10651291B2 (en) 2017-08-18 2020-05-12 Globalfoundries Inc. Inner spacer formation in a nanosheet field-effect transistor
US11908952B2 (en) 2017-08-29 2024-02-20 Samsung Electronics Co., Ltd. Semiconductor devices and manufacturing methods thereof
CN109427871A (zh) * 2017-08-29 2019-03-05 三星电子株式会社 半导体装置
CN109427871B (zh) * 2017-08-29 2024-05-31 三星电子株式会社 半导体装置
EP3719851A3 (en) * 2019-03-13 2020-12-30 United Microelectronics Corp. Semiconductor structure and process thereof
US11527652B2 (en) 2019-03-13 2022-12-13 United Microelectronics Corp. Semiconductor process
WO2023010980A1 (en) * 2021-08-05 2023-02-09 International Business Machines Corporation Complementary field effect transistor devices
US11705504B2 (en) 2021-12-02 2023-07-18 International Business Machines Corporation Stacked nanosheet transistor with defect free channel

Also Published As

Publication number Publication date
KR20150142632A (ko) 2015-12-22
TWI685972B (zh) 2020-02-21
TW201607039A (zh) 2016-02-16
CN106463543B (zh) 2020-04-07
KR102223971B1 (ko) 2021-03-10
CN106463543A (zh) 2017-02-22

Similar Documents

Publication Publication Date Title
WO2015190852A1 (en) Crystalline multiple-nanosheet strained channel fets and methods of fabricating the same
US9570609B2 (en) Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same
US9484423B2 (en) Crystalline multiple-nanosheet III-V channel FETs
US11062937B2 (en) Dielectric isolation for nanosheet devices
US8802531B2 (en) Split-channel transistor and methods for forming the same
US9530777B2 (en) FinFETs of different compositions formed on a same substrate
US10163677B2 (en) Electrically insulated fin structure(s) with alternative channel materials and fabrication methods
US10396185B2 (en) Integration of strained silicon germanium PFET device and silicon NFET device for finFET structures
US9853026B2 (en) FinFET device and fabrication method thereof
US20150228672A1 (en) Finfet device
US9536795B2 (en) Multiple threshold voltage trigate devices using 3D condensation
KR102135020B1 (ko) 다중 델타 도핑막을 가지는 퀀텀 웰 전계 효과 트랜지스터 제조 방법
US9460971B2 (en) Method to co-integrate oppositely strained semiconductor devices on a same substrate
US9911601B2 (en) Epitaxial silicon germanium fin formation using sacrificial silicon fin templates
US20190341452A1 (en) Iii-v-segmented finfet free of wafer bonding
US9755078B2 (en) Structure and method for multi-threshold voltage adjusted silicon germanium alloy devices with same silicon germanium content
US20230142609A1 (en) Integrated circuit devices including stacked transistors and methods of forming the same
US9142674B2 (en) FINFET devices having a body contact and methods of forming the same
US20090085114A1 (en) Semiconductor Structure

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15806432

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 15806432

Country of ref document: EP

Kind code of ref document: A1