WO2014115805A1 - 半導体基板のエッチング方法、エッチング液及び半導体素子の製造方法並びにエッチング液のキット - Google Patents

半導体基板のエッチング方法、エッチング液及び半導体素子の製造方法並びにエッチング液のキット Download PDF

Info

Publication number
WO2014115805A1
WO2014115805A1 PCT/JP2014/051382 JP2014051382W WO2014115805A1 WO 2014115805 A1 WO2014115805 A1 WO 2014115805A1 JP 2014051382 W JP2014051382 W JP 2014051382W WO 2014115805 A1 WO2014115805 A1 WO 2014115805A1
Authority
WO
WIPO (PCT)
Prior art keywords
etching
layer
etching method
etching solution
substrate
Prior art date
Application number
PCT/JP2014/051382
Other languages
English (en)
French (fr)
Japanese (ja)
Inventor
稲葉 正
上村 哲也
篤史 水谷
Original Assignee
富士フイルム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 富士フイルム株式会社 filed Critical 富士フイルム株式会社
Publication of WO2014115805A1 publication Critical patent/WO2014115805A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • the present invention relates to a method for etching a semiconductor substrate, an etching solution, a method for manufacturing a semiconductor element, and an etching solution kit.
  • Semiconductor devices are increasingly miniaturized and diversified, and their processing methods are diversified according to device structures and manufacturing processes.
  • the etching of the substrate the development of both dry etching and wet etching is proceeding, and various chemicals and processing conditions are proposed according to the type and structure of the substrate material.
  • a technique for precisely etching a predetermined material when fabricating an element structure such as a CMOS or DRAM is important, and one of the corresponding techniques is wet etching using a chemical solution.
  • precise etching is required in the production of a substrate having circuit wiring, metal electrode material, a barrier layer, a hard mask, or the like in a fine transistor circuit.
  • sufficient research has not yet been conducted on etching conditions and chemical solutions that are suitable for substrates having various metal compounds. Under such circumstances, efficient removal of hard masks and the like applied to the element substrate has been considered as a manufacturing issue, and there is an example in which a chemical solution for specifically etching titanium nitride (TiN) has been studied. (See Patent Documents 1 to 6).
  • JP 2005-097715 A Japanese Patent No. 4,896,995 JP 2009-021516 A JP 2009-019255 A JP 2009-044129 A US Publication No. 2009017626
  • the present invention shows selectivity to the metal layer (second layer) and good in-plane uniformity in etching the first layer containing titanium nitride (TiN), and damage to the silicon oxide film (SiO 2 layer). It is an object of the present invention to provide an etching method that can be suppressed, an etching solution used therefor, a method for manufacturing a semiconductor element using the etching method, and a kit for the etching solution.
  • the single-wafer type apparatus has a swing type nozzle, and discharges and applies the etching solution while moving the nozzle in the surface direction with respect to the surface of the semiconductor substrate. 2.
  • An etching solution for treating a substrate having a first layer containing titanium nitride (TiN) and a second layer containing a transition metal using a single wafer type apparatus, the metal salt of hydrofluoric acid and ammonium An etching solution comprising a specific fluorine compound selected from salts and an oxidizing agent.
  • etching solution according to [17] containing 0.001 to 20% by mass of a specific fluorine compound.
  • An etching solution kit for treating a substrate having a first layer containing titanium nitride (TiN) and a second layer containing a transition metal using a single wafer type apparatus the first kit containing a specific fluorine compound
  • An etching solution kit comprising a solution and a second solution containing an oxidizing agent, and mixing and using the two solutions in a timely manner before contacting the semiconductor substrate.
  • the method of the present invention when etching the first layer containing TiN, selectivity to the metal layer (second layer) and good in-plane uniformity can be exhibited, and damage to the silicon oxide film can also be suppressed. . Moreover, according to a request
  • FIG. 1 is a view showing a semiconductor substrate before etching.
  • a silicon wafer (not shown) in which a SiOC layer 3 and a SiON layer 2 are arranged as specific third layers and a TiN layer 1 is formed thereon is used.
  • a via 5 is already formed in the composite layer, and a second layer (metal layer) 4 containing a metal is formed at the bottom of the via 5.
  • the TiN layer is removed by applying the etching solution (not shown) in this embodiment to the substrate 10 in this state. As a result, as shown in FIG. 2, the substrate 20 with the TiN film removed can be obtained.
  • the etching as shown in the figure is ideal, but the remaining TiN layer or some corrosion of the second layer may cause the required quality of the semiconductor device to be manufactured.
  • the present invention is not construed as being limited by this description.
  • the term “silicon substrate” or “semiconductor substrate”, or simply “substrate”, includes not only a silicon wafer but also a substrate structure in which a circuit structure is provided.
  • the member of the substrate refers to a member constituting the silicon substrate defined above and may be made of one material or a plurality of materials.
  • a processed semiconductor substrate is sometimes referred to as a semiconductor substrate product.
  • the chip further processed and diced out and the processed product are called a semiconductor element or a semiconductor device.
  • the side opposite to the silicon wafer (TiN side) is referred to as “upper”, and the silicon wafer side (SiOC side) is referred to as “lower” or “bottom”.
  • the etching solution of this embodiment contains an oxidizing agent and a specific fluorine compound.
  • an oxidizing agent and a specific fluorine compound.
  • each component including an arbitrary one will be described.
  • oxidizing agent examples include ammonium nitrate, nitric acid, methanesulfonic acid, perchloric acid, ammonium perchlorate, periodic acid, and combinations thereof, among which ammonium nitrate and nitric acid are preferable. Most preferred is ammonium nitrate.
  • hydrogen peroxide is used as the oxidizing agent, stability over time may not be ensured.
  • the use of the oxidant described above is stable, the production quality is stable, and it is possible to suitably cope with application and storage in the circulation system.
  • the oxidizing agent is preferably contained in an amount of 0.005% by mass or more, more preferably 0.01% by mass or more, and particularly preferably 0.1% by mass or more, based on the total mass of the etching solution of the present embodiment. preferable.
  • As an upper limit 30 mass% or less is preferable, 20 mass% or less is more preferable, 15 mass% or less is more preferable, 10 mass% or less is especially preferable, 8 mass% or less is further more preferable, 5 mass% or less is especially preferable. . It is preferable to set it to the upper limit or less because excessive etching of the second layer (damage to TiN-containing metals, Si, Al, etc.) can be further suppressed.
  • the said oxidizing agent may be used individually by 1 type, or may be used in combination of 2 or more type.
  • the specific fluorine compound means a compound selected from a metal salt and an ammonium salt of hydrofluoric acid.
  • alkali metal fluoride salts NaF, KF, etc.
  • hydrofluoric acid salts of amines monoethylamine hydrofluoride, triethylamine trihydrofluoride, etc.
  • pyridine hydrofluoric acid ammonium fluoride, Quaternary alkyl ammonium fluorides (tetramethylammonium fluoride, tetra-n-butylammonium fluoride, etc.) can be mentioned.
  • ammonium fluoride and quaternary alkyl ammonium fluoride are preferable.
  • the supply source of fluorine ions exhibiting activity in the etching solution as described above is not simply hydrofluoric acid but a metal salt or an ammonium salt.
  • the liquid physical properties such as pH are stably adjusted in a suitable region, the high etching property of TiN is maintained, and the etching (damage) of the second layer (transition metal layer) is suppressed. It is understood.
  • a “salt” of hydrofluoric acid since a “salt” of hydrofluoric acid is further applied, it has sufficient etching power and can maintain stable chemical activity. Furthermore, it is easy to adjust the concentration and has an advantage in terms of safety. Further, since the neutralization treatment can be omitted, the heat generation in the system accompanying this can be suppressed, which contributes to suitability for production.
  • the specific fluorine compound is preferably contained in an amount of 0.001% by mass or more, more preferably 0.005% by mass or more, and 0.01% by mass with respect to the total mass of the etching solution of the present embodiment. It is more preferable to make it contain above, It is more preferable to contain 0.05 mass% or more, It is especially preferable to contain 0.1 mass% or more.
  • the upper limit is preferably 20% by mass or less, more preferably 10% by mass or less, still more preferably 5% by mass or less, and even more preferably 3% by mass or less. , 1.5% by mass or less is particularly preferable, and 1% by mass or less is particularly preferable.
  • the dissolution rate of TiN can be maintained at a high level, and it is preferable.
  • the amount is not more than the above upper limit value so that damage to the insulating layer is not excessively increased.
  • the specific fluorine compound is preferably used in an amount of 0.1 part by mass or more, more preferably 1 part by mass or more with respect to 100 parts by mass of the oxidizing agent.
  • 10,000 mass parts or less are preferable, 1000 mass parts or less are more preferable, and it is especially preferable that it is 500 mass parts or less.
  • the said specific fluorine compound may be used individually by 1 type, or may be used in combination of 2 or more type.
  • the etching liquid of this invention it is preferable to contain the anticorrosive which has the anticorrosive property of a 2nd layer, and improves the uniformity.
  • the uniformity of the surface of the first layer after etching (the effect of suppressing etching unevenness) can also be improved.
  • the remaining etching unevenness is improved.
  • the surface uniformity of the first layer (TiN layer) described above has been realized by increasing the surface uniformity of the metal layer (second layer) provided side by side, it has uniformity by itself Compared to the above, it can greatly contribute to the improvement of the manufacturing process and the improvement of the manufacturing quality.
  • the anticorrosive agent is also effective in preventing defects on the surface of the TiN layer.
  • Nitrogen-containing organic compound / aromatic compound As the anticorrosive, a nitrogen-containing organic compound is preferable, and a 5-membered or 6-membered nitrogen-containing heterocyclic compound (hetero atom is nitrogen, oxygen, sulfur, etc.) is preferable. Or the aromatic compound is mentioned as the preferable thing. Heterocyclic compounds and aromatic compounds may be monocyclic or polycyclic. As the heterocyclic compound, a 5-membered nitrogen-containing heteroaromatic compound is more preferable. In this case, the nitrogen content is preferably 1 to 4. As the aromatic compound, a compound having a benzene ring is preferable.
  • the anticorrosive agent is preferably a compound represented by any of the following formulas (I) to (IX).
  • R 1 to R 30 each independently represent a hydrogen atom or a substituent.
  • substituents include an alkyl group (preferably having 1 to 20 carbon atoms, more preferably 1 to 6), an alkenyl group (preferably having 2 to 20 carbon atoms, more preferably 2 to 6), an aryl group (preferably carbon atoms). 6 to 22, more preferably 1 to 14), a heterocyclic group (preferably 1 to 20 carbon atoms, more preferably 1 to 6), an alkoxy group (preferably 1 to 20 carbon atoms, more preferably 1 to 6 carbon atoms).
  • An acyl group (preferably having 2 to 20 carbon atoms, more preferably 2 to 6 carbon atoms), an amino group (preferably having 0 to 6 carbon atoms), a carboxyl group, a phosphate group, a hydroxy group, a thiol group (—SH), Examples thereof include a boronic acid group (—B (OH) 2 ).
  • the aryl group is preferably a phenyl group or a naphthyl group.
  • heterocyclic group examples include a nitrogen-containing heteroaromatic group, among which a 5-membered nitrogen-containing heteroaromatic group is preferable, and a pyrrole group, an imidazole group, a pyrazole group, a triazole group, or a tetrazole group is more preferable. These substituents may further have a substituent as long as the effects of the present invention are achieved.
  • the amino group, carboxyl group, phosphoric acid group, and boronic acid group may form a salt thereof.
  • the counter ion forming the salt include quaternary ammonium such as ammonium ion (NH 4 + ) and tetramethylammonium ion ((CH 3 ) 4 N + ).
  • the above substituents may be substituted via any linking group.
  • the linking group include an alkylene group (preferably having a carbon number of 1 to 20, more preferably 1 to 6), an alkenylene group (preferably having a carbon number of 2 to 20, more preferably 2 to 6), an ether group (—O—). ), An imino group (preferably having a carbon number of 0 to 4), a thioether group (—S—), a carbonyl group, or a combination thereof.
  • This linking group is hereinafter referred to as linking group L.
  • this coupling group may have a substituent further in the range with the effect of this invention.
  • R 1 to R 30 are preferably an alkyl group having 1 to 6 carbon atoms, a carboxyl group, an amino group (preferably having 0 to 4 carbon atoms), a hydroxy group, or a boronic acid group. These substituents may be substituted via the linking group L as described above.
  • R 1 to R 30 may be adjacent to each other or linked or condensed to form a ring structure.
  • the ring structure to be formed include a nitrogen-containing heterocyclic structure, such as a pyrrole ring structure, an imidazole ring structure, a pyrazole ring structure, or a triazole ring structure. These ring structure parts may further have a substituent within the range where the effects of the present invention are exhibited.
  • the ring structure formed here is a benzene ring, it divides and arrange
  • a A represents a hetero atom, and represents a nitrogen atom, an oxygen atom, a sulfur atom, or a phosphorus atom. However, when A is divalent (oxygen atom or sulfur atom), R 1 , R 3 , R 6 , R 11 , R 24 , and R 28 are not present.
  • the compound represented by the formula (VII) is preferably one represented by any of the following formulas (VII-1) to (VII-4).
  • R a represents an acidic group, preferably a carboxyl group, a phosphoric acid group, or a boronic acid group.
  • the acidic group may be substituted through the linking group L.
  • R b is an alkyl group having 1 to 6 carbon atoms, an amino group (preferably having 0 to 4 carbon atoms), a hydroxy group, an alkoxy group (preferably having 1 to 6 carbon atoms), or an acyl group (preferably having 1 to 6 carbon atoms). ).
  • the substituent R b may be substituted through the linking group L. When there are a plurality of R b s , these may be linked or condensed to form a ring structure.
  • n1 is an integer of 1 to 5.
  • n2 is an integer of 0 to 5.
  • n3 is an integer of 0 to 4, and when n1 to n3 are each 2 or more, the plurality of substituents defined therein may be the same or different.
  • A has the same meaning as A defined above.
  • R c , R d and R e are groups having the same meanings as R 1 to R 30 . However, when A is divalent, R c and R e are not present.
  • the content of the nitrogen-containing organic compound or aromatic compound that forms the anticorrosive is not particularly limited, but is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, and 0.1% by mass in the etching solution.
  • the above is particularly preferable.
  • the upper limit is not particularly limited, but is preferably 10% by mass or less, more preferably 5% by mass or less, further preferably 3% by mass or less, and particularly preferably 1% by mass or less. It is preferable to set it to the above lower limit value or more because a suitable uniformizing effect and defect preventing effect can be obtained. On the other hand, it is preferable to set it to the upper limit value or less from the viewpoint of not hindering good etching performance.
  • the nitrogen-containing organic compound / aromatic compound may be used alone or in combination of two or more.
  • the technical matters such as temperature and thickness, as well as the choices of substituents and linking groups of the compounds, can be combined with each other even if the list is described independently.
  • aqueous medium In the etching liquid of the present invention, water (aqueous medium) is preferably applied as the medium, and an aqueous solution in which each component is uniformly dissolved is preferable.
  • the water content is preferably 50 to 99.5% by mass, more preferably 55 to 95% by mass, based on the total mass of the etching solution.
  • a composition containing water as a main component (50% by mass or more) is sometimes referred to as an aqueous composition, and is inexpensive and suitable for the environment as compared with a composition having a high organic solvent ratio. This is preferable.
  • the etching solution of the present invention is preferably an aqueous composition.
  • the water may be an aqueous medium containing a dissolved component as long as the effects of the present invention are not impaired, or may contain an unavoidable trace mixed component.
  • water that has been subjected to purification treatment such as distilled water, ion-exchanged water, or ultrapure water is preferable, and ultrapure water that is used for semiconductor manufacturing is particularly preferable.
  • the pH of the etching solution is adjusted to -1 or more or 1 or more, more preferably more than 3, more preferably 3.5 or more, and particularly preferably 4 or more.
  • the pH is preferably 10 or less, more preferably 9 or less, further preferably 7 or less, further preferably 6 or less, and particularly preferably 5 or less.
  • the corrosion resistance to other substrates such as SiO and SiOC to be not more than the above upper limit value.
  • pH adjuster a quaternary ammonium salt such as tetramethylammonium or choline, an alkali hydroxide or alkaline earth salt such as potassium hydroxide, or an amino compound such as 2-aminoethanol or guanidine is used to raise the pH. It is preferable.
  • inorganic acids such as hydrochloric acid, nitric acid, sulfuric acid, phosphoric acid, or formic acid, acetic acid, propionic acid, butyric acid, valeric acid, 2-methylbutyric acid, n-hexanoic acid, 3,3-dimethylbutyric acid, 2-ethylbutyric acid, 4-methylpentanoic acid, n-heptanoic acid, 2-methylhexanoic acid, n-octanoic acid, 2-ethylhexanoic acid, benzoic acid, glycolic acid, salicylic acid, glyceric acid, oxalic acid, malonic acid, Examples thereof include organic acids such as succinic acid, glutaric acid, adipic acid, pimelic acid, maleic acid, phthalic acid, malic acid, tartaric acid, citric acid, and lactic acid.
  • the amount of the pH adjuster used is not particularly limited, and may be used in an amount
  • the etching solution in the present invention may be a kit in which the raw material is divided into a plurality.
  • the liquid composition which contains the said specific fluorine compound in an aqueous medium as a 1st liquid is prepared, and the liquid composition which contains the said oxidizing agent in an aqueous medium as a 2nd liquid is mentioned.
  • a mode in which both solutions are mixed to prepare an etching solution, and then applied to the etching process at an appropriate time is preferable. By doing in this way, it does not cause deterioration of the liquid performance by decomposition
  • timely after mixing refers to the time period after mixing until the desired action is lost, specifically within 60 minutes, more preferably within 30 minutes, and more preferably within 10 minutes. Is particularly preferable. Although there is no lower limit in particular, it is practical that it is 1 second or more.
  • the concentration of the specific fluorine compound in the first liquid is not particularly limited, but is preferably 1.0% by mass or more, and more preferably 2.0% by mass or more. As an upper limit, it is preferable that it is 10 mass% or less, and it is more preferable that it is 5 mass% or less. By setting this concentration within the above range, a state suitable for mixing with the second liquid can be obtained, and a suitable concentration region in the etching liquid can be obtained.
  • the concentration of the oxidizing agent in the second liquid is not particularly limited, but is preferably 10% by mass or more, and more preferably 20% by mass or more. As an upper limit, it is preferable that it is 50 mass% or less, and it is preferable that it is 40 mass% or less. By setting this concentration within the above range, it is possible to obtain a state suitable for mixing with the first liquid, and a preferable concentration region in the etching liquid can be obtained.
  • the anticorrosive agent When used, it is preferably added to the first liquid side.
  • a liquid composition containing a water-soluble organic solvent in an aqueous medium may be prepared and mixed with the first liquid and the second liquid as a third liquid.
  • the method of mixing the first liquid and the second liquid is not particularly limited, but it is preferable that the first liquid and the second liquid are circulated through the respective flow paths, and both are merged at the merging point and mixed. After that, it is preferable that the flow path is further circulated, and the etching solution obtained by joining is discharged or jetted from the discharge port and brought into contact with the semiconductor substrate. In this embodiment, it is preferable that the process from the merging and mixing at the merging point to the contact with the semiconductor substrate is performed at the “timely”. This will be described with reference to FIG. 3.
  • the prepared etching solution is sprayed from the discharge port 13 and applied to the upper surface of the semiconductor substrate S in the processing container (processing tank) 11.
  • the two liquids A and B are supplied, merge at the junction 14, and then move to the discharge port 13 via the flow path fc.
  • a flow path fd indicates a return path for reusing the chemical solution.
  • the semiconductor substrate S is on the turntable 12 and is preferably rotated together with the turntable by the rotation drive unit M. Note that an embodiment using such a substrate rotation type apparatus can be similarly applied to a process using an etching solution that is not used as a kit.
  • the etching liquid of this invention has few impurities, for example, a metal part, etc. in a liquid in view of the use use.
  • the etching solution of the present invention can be stored, transported and used in any container as long as corrosion resistance or the like does not matter (whether or not it is a kit).
  • a container having a high cleanliness and a low impurity elution is preferable.
  • the containers that can be used include, but are not limited to, “Clean Bottle” series manufactured by Aicero Chemical Co., Ltd., “Pure Bottle” manufactured by Kodama Resin Co., Ltd., and the like.
  • the single wafer type apparatus has a processing tank, and the semiconductor substrate is conveyed or rotated in the processing tank, the etching solution is applied to the processing tank, and the etching solution is brought into contact with the semiconductor substrate.
  • the Advantages of the single wafer type apparatus include (i) a fresh etching solution is always supplied, so that reproducibility is good, and (ii) in-plane uniformity is high. Furthermore, it is easy to use a kit in which the etching liquid is divided into a plurality of parts.
  • the first liquid and the second liquid are mixed in-line and discharged is suitably employed.
  • the temperature of both the first liquid and the second liquid is adjusted, or only one of them is temperature-controlled and mixed and discharged in-line.
  • the management temperature when adjusting the line temperature is preferably in the same range as the processing temperature described later.
  • the single wafer type apparatus is preferably provided with a nozzle in its processing tank, and a method of discharging the etching liquid onto the semiconductor substrate by swinging the nozzle in the surface direction of the semiconductor substrate is preferable. By doing so, the deterioration of the liquid can be prevented, which is preferable.
  • kits are divided into two or more liquids so that harmful gases and the like are hardly generated.
  • the present invention is particularly effective when the etching solution or the first solution obtained by dividing the etching solution is used. Specifically, even when these liquids are heated, the fluorine compound is introduced in the form of a salt, so that there is an advantage that the liquid is stable and hardly deteriorates as described above.
  • a semiconductor substrate is transported or rotated in a predetermined direction, and an etching solution is applied to the space (discharge, jetting, flowing down, dropping, etc.) And the etching solution is brought into contact with the semiconductor substrate.
  • the environmental temperature at which etching is performed is preferably 40 ° C. or higher, more preferably 50 ° C. or higher, and particularly preferably 55 ° C. or higher in the temperature measurement method shown in the examples described later.
  • As an upper limit it is preferable that it is 80 degrees C or less, and it is more preferable that it is 70 degrees C or less.
  • the supply rate of the etching solution is not particularly limited, but is preferably 0.05 to 5 L / min, more preferably 0.05 to 1 L / min, and 0.1 to 0.5 L / min. Is particularly preferred.
  • the supply rate of the etching solution is not particularly limited, but is preferably 0.05 to 5 L / min, more preferably 0.05 to 1 L / min, and 0.1 to 0.5 L / min. Is particularly preferred.
  • the supply rate of the etching solution is not particularly limited, but is preferably 0.05 to 5 L / min, more preferably 0.05 to 1 L / min, and 0.1 to 0.5 L / min. Is particularly preferred.
  • the semiconductor substrate is rotated, depending on its size and the like, it is preferably rotated at 50 to 1000
  • the semiconductor substrate is transported or rotated in a predetermined direction, an etching solution is sprayed into the space, and the etching solution is brought into contact with the semiconductor substrate.
  • the supply rate of the etching solution and the rotation speed of the substrate are the same as those already described.
  • the etching solution in the single wafer type apparatus configuration according to a preferred embodiment of the present invention, as shown in FIG. 4, it is preferable to apply the etching solution while moving the discharge port (nozzle).
  • the discharge port moves along a movement trajectory line t extending from the center to the end of the semiconductor substrate.
  • the direction of rotation of the substrate and the direction of movement of the discharge port are set to be different directions, so that both move relative to each other.
  • the etching solution can be applied evenly over the entire surface of the semiconductor substrate, and the etching uniformity is suitably ensured.
  • the moving speed of the discharge port (nozzle) is not particularly limited, but is preferably 0.1 cm / s or more, and more preferably 1 cm / s or more.
  • the upper limit is preferably 30 cm / s or less, and more preferably 15 cm / s or less.
  • the movement trajectory line may be a straight line or a curved line (for example, an arc shape). In either case, the moving speed can be calculated from the actual distance of the trajectory line and the time spent for the movement.
  • a metal layer or the like In the manufacturing process of a semiconductor element, there may be a step of etching a metal layer or the like on a semiconductor substrate by plasma etching using a resist pattern or the like as a mask. Specifically, a metal layer, a semiconductor layer, an insulating layer, or the like is etched to pattern the metal layer or the semiconductor layer, or an opening such as a via hole or a wiring groove is formed in the insulating layer.
  • a residue derived from a resist used as a mask, a metal layer to be etched, a semiconductor layer, or an insulating layer may be generated on the semiconductor substrate. In the present invention, such a residue generated by plasma etching is referred to as “plasma etching residue”.
  • the “plasma etching residue” includes the etching residue of the third layer (SiON, SiOC, etc.).
  • the resist pattern used as a mask is removed after etching.
  • a wet method using a stripper solution or a dry method by ashing using, for example, plasma or ozone is used for removing the resist pattern.
  • ashing a residue obtained by altering a plasma etching residue generated by plasma etching or a residue derived from a resist to be removed is generated on the semiconductor substrate.
  • the residue generated by ashing in this way is referred to as “ashing residue”.
  • a generic term for what should be removed by cleaning such as plasma etching residue and ashing residue on the semiconductor substrate may be simply referred to as “residue”.
  • the plasma etching residue and the ashing residue which are residues after the etching (Post Etch Residue), are removed by cleaning using a cleaning composition.
  • the etching solution of this embodiment can also be applied as a cleaning solution for removing plasma etching residues and / or ashing residues. Especially, it is preferable to use it for removing a plasma etching residue and an ashing residue after plasma ashing performed following plasma etching.
  • any material can be etched by applying the etching solution of the present embodiment, but a substrate having a first layer containing TiN is applied.
  • the layer containing TiN means that oxygen may be contained, and in particular, it may be referred to as a TiON layer when distinguished from a layer not containing oxygen.
  • the oxygen content of the TiN layer is preferably 10 mol% or less, more preferably 8.5 mol% or less, and further preferably 6.5 mol% or less.
  • the lower limit side is preferably 0.1 mol% or more, more preferably 2.0 mol% or more, and further preferably 4.0 mol% or more.
  • the oxygen concentration in the TiN layer by the substrate can be adjusted, for example, by adjusting the oxygen concentration in the CVD (Chemical Vapor Deposition) process chamber when forming the TiN layer.
  • the 1st layer contains TiN as the main component, it may contain the other component in the range with the effect of this invention. The same applies to other layers such as the second metal layer.
  • the surface oxygen concentration of the TiN layer is measured by measuring the concentration profile of Ti, O, N in the depth direction from 0 to 30 nm by etching ESCA (Quanta, manufactured by ULVAC-PHI), and contained at 5 to 10 nm. Each rate is calculated, and the average oxygen content is defined as the surface oxygen concentration.
  • the first layer is preferably etched at a high etching rate.
  • the thickness of the first layer is not particularly limited, but it is practical that the thickness is about 0.005 to 0.3 ⁇ m in consideration of the structure of a normal element.
  • the etching rate [R1] of the first layer is not particularly limited, but is preferably 20 ⁇ / min or more, more preferably 50 ⁇ / min or more, and particularly preferably 70 ⁇ / min or more in consideration of production efficiency. . Although there is no particular upper limit, it is practical that it is 600 ⁇ / min or less.
  • the method of the present invention is preferably applied to a semiconductor substrate having a second layer containing a metal such as Cu, W, Co, Ni, Ag, Ta, Hf, Pt, or Au. Especially, it is preferable to apply Cu and W as the material of the second layer.
  • a metal such as Cu, W, Co, Ni, Ag, Ta, Hf, Pt, or Au.
  • Cu and W as the material of the second layer.
  • the technical significance of the metal layer will be described based on an example in which copper (Cu) and tungsten (W) are used as this material.
  • Cu copper
  • W tungsten
  • the copper wiring generally has a copper seed layer (for example, a double layer of tantalum (Ta) and tantalum nitride (TaN)) that functions as a copper diffusion prevention film for preventing copper diffusion in the copper wiring.
  • a copper seed layer for example, a double layer of tantalum (Ta) and tantalum nitride (TaN)
  • Ta tantalum nitride
  • the contact of the semiconductor element is usually provided through a tungsten plug by a single damascene process instead of the dual damascene process used when forming the copper wiring and the via hole.
  • a damascene method is employed in which concave portions such as wiring grooves and through holes are formed in a low dielectric constant layer and copper is embedded therein.
  • a mask made of a material having a sufficiently high selectivity with the low dielectric constant layer is used as a mask for etching the low dielectric constant layer.
  • the low dielectric constant layer an organic material is generally used. Therefore, when the low dielectric constant layer is etched using a photoresist layer made of the same organic material as a mask, the selection ratio becomes insufficient. It is possible.
  • a hard mask layer made of an inorganic material such as a TiN film as a mask for etching.
  • the hard mask layer needs to be removed in a process after etching the low dielectric constant layer. In particular, in wet process etching, it is desired to remove the hard mask accurately without corroding a metal layer such as a tungsten plug or other wiring / low dielectric constant layer material.
  • the metal layer (second layer) is usually located at the bottom of the via hole or trench (see FIGS. 1 and 2). ).
  • the etching rate [R2] of the second layer (metal layer) is not particularly limited, but is preferably not excessively removed and is preferably 10 ⁇ / min or less. There is no particular lower limit, but considering the measurement limit, it is practical that it is 0.1 ⁇ / min or more.
  • the etching rate ratio ([R1] / [R2]) is not particularly limited, but it is preferably 2 or more on the premise of an element that requires high selectivity. It is more preferably 3 or more, and further preferably 5 or more.
  • the upper limit is not particularly defined and is preferably as high as possible, but is practically 100 or less.
  • the exposed width of the metal layer is not particularly limited, it is preferably 2 nm or more, more preferably 4 nm or more, from the viewpoint that the advantages of the present invention become more prominent.
  • the upper limit is practically 1000 nm or less, preferably 100 nm or less, and more preferably 20 nm or less.
  • the method of the present invention is also preferably applied to a semiconductor substrate having a third layer containing a metal compound such as SiO, SiN, SiOC, or SiON.
  • a metal compound such as SiO, SiN, SiOC, or SiON.
  • SiO means to include a thermal oxide film of silicon, SiO 2, and includes SiOx. This is common in this specification, and the same applies to other metal compounds.
  • the surface of the third layer is made uniform.
  • the etching rate [R3] of the third layer is not particularly limited, but the same range as the etching rate [R2] of the second layer is preferable.
  • the ratio between the etching rate of the third layer and the etching rate of the first layer ([R1] / [R3]) is also the same as the preferred range of the ratio ([R1] / [R2]) with the second layer.
  • Example 1 The components shown in the following table were contained in the composition (mass%) shown in each table to prepare an etching solution. The balance is water (ultra pure water).
  • TiN substrate creation method A TiN film having a surface oxygen concentration of 6.0 mol% was formed on a commercially available silicon substrate by CVD. Moreover, based on a well-known method, the metal layer in a table
  • a radiation thermometer IT-550F (trade name) manufactured by HORIBA, Ltd. was fixed at a height of 30 cm above the wafer in the single wafer type apparatus. A thermometer was directed onto the wafer surface 2 cm outside from the wafer center, and the temperature was measured while flowing a chemical solution. The temperature was digitally output from the radiation thermometer and recorded continuously with a personal computer. Among these, the value obtained by averaging the temperature for 10 seconds at which the temperature was stabilized was defined as the temperature on the wafer.
  • the etching rate (ER) of the TiN layer was evaluated in the following categories. About the etching rate (ER), it computed by measuring the film thickness before and behind an etching process using ellipsometry (a spectroscopic ellipsometer, JA Woolum Japan Co., Ltd. Vase was used). An average value of 5 points was adopted (measurement condition measurement range: 1.2-2.5 eV, measurement angle: 70, 75 degrees).
  • SiOx damage The damage of the SiO 2 layer was evaluated by its etching rate (ER).
  • ER etching rate
  • Tests beginning with C are comparative examples (the same applies to the table below)
  • the numerical value shown below the metal or metal compound name in the table is the etching rate ( ⁇ / min)
  • Example 2 comparative example 2
  • Etching tests were conducted in the same manner as in Example 1 except that the concentrations of additives used were changed as shown in Tables 2-6. The results are shown in Tables 2-6.
  • TMAF Tetramethylammonium fluoride
  • Example 3 The etching test was performed in the same manner as in Example 1 except that the anticorrosive agent shown in Table 7 below was used. The results are shown in Table 7.
  • Example 4 Etching tests were conducted in the same manner as in Example 1 except that the etching conditions shown in Table 8 below were applied. The results are shown in Table 8.
  • 2 liquids were prepared as follows, respectively, and it supplied from the inlets A and B shown in FIG. The temperature of the supply line fc was adjusted at 60 ° C. by heating. One liquid was supplied from the inlet A.
  • First liquid Fluorine compound and water
  • Second liquid Oxidizing agent and water (anticorrosive if necessary)
  • the ratio of the first liquid to the second liquid was equal in volume.
  • the temperature control of the line was performed as follows.
  • An insulated nichrome wire was sprinkled and heated on a stainless steel pipe through which the etching solution was circulated, and a thermocouple was inserted between the pipe and the nichrome wire to measure the temperature.
  • the circulating etching liquid was heated by a heating tank (not shown) in the line before mixing the first liquid and the second liquid. At this time, it was controlled so as to stably reach a predetermined temperature according to the measured temperature.
  • SWT Single wafer type device SPS-Europe B. V. POLOS (product name) (1) One etchant (12 hours after preparation) (2) Two-component kit mixed in line and applied BT: Batch type device Manual type wet bench (product name) manufactured by Seto Giken Kogyo Co., Ltd.
  • Swing speed Swing speed of the discharge port for applying chemicals (see Fig. 4)
  • Washing Washed with ultrapure water after etching (Yes) Items that have not been washed (No)
  • defect performance evaluation The surface of the wafer after etching was observed with a defect inspection apparatus (trade name SP-1, manufactured by KLA-Tencor), and the number of TiN residues on the surface was evaluated. The case where there was a residue of 0.2 ⁇ m or more was counted as one defect.
  • the number of defects of 0.2 ⁇ m or more is A: less than 50/12 inch wafer surface B: 50 or more and less than 200 inch / 12 inch wafer surface C: 200 or more / 12 inch wafer surface
  • Example 5 An etching test was performed in the same manner as the test 803 except that the anticorrosive was changed to that used in the tests 702 to 729 in Table 7. As a result, the etching rate ratio (TiN / Cu) between TiN and Cu showed a good result of 3 or more, and the in-plane uniformity and defect performance of TiN both resulted in “A”.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Weting (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
PCT/JP2014/051382 2013-01-25 2014-01-23 半導体基板のエッチング方法、エッチング液及び半導体素子の製造方法並びにエッチング液のキット WO2014115805A1 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013-012531 2013-01-25
JP2013012531A JP2014146623A (ja) 2013-01-25 2013-01-25 半導体基板のエッチング方法、エッチング液及び半導体素子の製造方法

Publications (1)

Publication Number Publication Date
WO2014115805A1 true WO2014115805A1 (ja) 2014-07-31

Family

ID=51227594

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2014/051382 WO2014115805A1 (ja) 2013-01-25 2014-01-23 半導体基板のエッチング方法、エッチング液及び半導体素子の製造方法並びにエッチング液のキット

Country Status (3)

Country Link
JP (1) JP2014146623A (zh)
TW (1) TWI611046B (zh)
WO (1) WO2014115805A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018061670A1 (ja) * 2016-09-29 2018-04-05 富士フイルム株式会社 処理液、および積層体の処理方法
WO2018061582A1 (ja) * 2016-09-29 2018-04-05 富士フイルム株式会社 処理液および積層体の処理方法
WO2023189353A1 (ja) * 2022-03-28 2023-10-05 富士フイルム株式会社 処理液、処理方法、電子デバイスの製造方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI705132B (zh) 2015-10-08 2020-09-21 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
TWI816635B (zh) 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
KR102506218B1 (ko) * 2016-05-03 2023-03-06 동우 화인켐 주식회사 질화티타늄 막의 식각액 조성물

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183017A (ja) * 1998-12-11 2000-06-30 Nec Kyushu Ltd 薬液濃度制御装置
JP2005097715A (ja) * 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp チタン含有層用エッチング液及びチタン含有層のエッチング方法
JP2009021516A (ja) * 2007-07-13 2009-01-29 Tokyo Ohka Kogyo Co Ltd 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
JP2012256876A (ja) * 2011-05-17 2012-12-27 Fujifilm Corp エッチング方法及びこれに用いられるエッチング液、これを用いた半導体素子の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100271769B1 (ko) * 1998-06-25 2001-02-01 윤종용 반도체소자의 제조방법, 이를 위한 반도체소자 제조용 식각액조성물 및 반도체소자
JP5347237B2 (ja) * 2007-05-15 2013-11-20 三菱瓦斯化学株式会社 洗浄用組成物
JP5047881B2 (ja) * 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
JP5364250B2 (ja) * 2007-07-13 2013-12-11 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183017A (ja) * 1998-12-11 2000-06-30 Nec Kyushu Ltd 薬液濃度制御装置
JP2005097715A (ja) * 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp チタン含有層用エッチング液及びチタン含有層のエッチング方法
JP2009021516A (ja) * 2007-07-13 2009-01-29 Tokyo Ohka Kogyo Co Ltd 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
JP2012256876A (ja) * 2011-05-17 2012-12-27 Fujifilm Corp エッチング方法及びこれに用いられるエッチング液、これを用いた半導体素子の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018061670A1 (ja) * 2016-09-29 2018-04-05 富士フイルム株式会社 処理液、および積層体の処理方法
WO2018061582A1 (ja) * 2016-09-29 2018-04-05 富士フイルム株式会社 処理液および積層体の処理方法
JPWO2018061670A1 (ja) * 2016-09-29 2019-06-24 富士フイルム株式会社 処理液、および積層体の処理方法
JPWO2018061582A1 (ja) * 2016-09-29 2019-06-24 富士フイルム株式会社 処理液および積層体の処理方法
WO2023189353A1 (ja) * 2022-03-28 2023-10-05 富士フイルム株式会社 処理液、処理方法、電子デバイスの製造方法

Also Published As

Publication number Publication date
JP2014146623A (ja) 2014-08-14
TWI611046B (zh) 2018-01-11
TW201439376A (zh) 2014-10-16

Similar Documents

Publication Publication Date Title
TWI605156B (zh) 蝕刻液、使用其的蝕刻方法及半導體元件的製造方法
JP6017273B2 (ja) 半導体基板のエッチング方法及び半導体素子の製造方法
WO2014115805A1 (ja) 半導体基板のエッチング方法、エッチング液及び半導体素子の製造方法並びにエッチング液のキット
TWI602905B (zh) 半導體基板的蝕刻液、使用其的蝕刻方法及半導體元件的製造方法
JP6063206B2 (ja) エッチング液、これを用いたエッチング方法及び半導体素子の製造方法
TW200538544A (en) Alkaline post-chemical mechanical planarization cleaning compositions
JP2014022657A (ja) エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
TW201523170A (zh) 改質抗蝕劑的剝離液、使用其的改質抗蝕劑的剝離方法及半導體基板製品的製造方法
JP2013033942A (ja) エッチング方法及びこれに用いられるエッチング液、これを用いた半導体基板製品の製造方法
EP3143117B1 (en) Tin pull-back and cleaning composition
JP6017275B2 (ja) 半導体基板のエッチング方法及び半導体素子の製造方法
JP5960099B2 (ja) エッチング方法及びこれを用いた半導体基板製品及び半導体素子の製造方法
JP6198384B2 (ja) 半導体基板のエッチング方法及び半導体素子の製造方法
WO2020255581A1 (ja) 研磨液、及び、化学的機械的研磨方法
TW202132541A (zh) 蝕刻液、蝕刻液之製造方法、被處理物之處理方法,及含有釕的配線之製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14742906

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 14742906

Country of ref document: EP

Kind code of ref document: A1