WO2013099178A1 - ロードロック装置及びそれを備えた真空処理装置 - Google Patents

ロードロック装置及びそれを備えた真空処理装置 Download PDF

Info

Publication number
WO2013099178A1
WO2013099178A1 PCT/JP2012/008175 JP2012008175W WO2013099178A1 WO 2013099178 A1 WO2013099178 A1 WO 2013099178A1 JP 2012008175 W JP2012008175 W JP 2012008175W WO 2013099178 A1 WO2013099178 A1 WO 2013099178A1
Authority
WO
WIPO (PCT)
Prior art keywords
load lock
lock chamber
chamber
lock device
processing
Prior art date
Application number
PCT/JP2012/008175
Other languages
English (en)
French (fr)
Inventor
西村 直樹
重光 佐藤
弘樹 大空
俊則 金子
Original Assignee
シャープ株式会社
株式会社アルバック
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シャープ株式会社, 株式会社アルバック filed Critical シャープ株式会社
Priority to CN201280064260.4A priority Critical patent/CN104025278B/zh
Priority to JP2013551222A priority patent/JP5869003B2/ja
Priority to KR1020147021162A priority patent/KR101632043B1/ko
Publication of WO2013099178A1 publication Critical patent/WO2013099178A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Definitions

  • the present invention relates to a load lock device capable of suppressing adhesion of foreign matter (particles) to a processing object in a load lock chamber, and a vacuum processing device provided with the load lock device.
  • vacuum processing such as sputtering, etching, and plasma CVD is performed.
  • the interior of the vacuum processing chamber is temporarily returned to atmospheric pressure when an object to be processed such as a glass substrate is carried into or out of the processing chamber that performs vacuum processing. If this happens, a phenomenon such as gas adsorption on the inner wall of the vacuum processing chamber occurs. Therefore, it takes a very long time for the inside of the vacuum processing chamber to be ready for the next processing, and as a result, there is a problem that the processing capacity of the apparatus is greatly reduced. It was.
  • a load lock device is provided, and only the inside of the load lock device is returned to the atmospheric pressure, whereby the object to be processed is carried into and out of the vacuum processing chamber via the load lock device. To be done.
  • a load lock device for suppressing a decrease in yield due to such foreign matter. More specifically, for example, a load lock device including a gas diffusion plate for diffusing vent gas introduced into the load lock chamber has been proposed. And it is described that by providing such a gas diffusion plate, the flow rate of the introduced gas can be reduced to prevent the foreign matter from being rolled up (for example, see Patent Document 1).
  • a load lock device has been proposed that includes an interference plate that is arranged so as to include the wafer support portion between the wafer support portion and the load lock exhaust port. Further, by providing such an interference plate, it is possible to suppress the turbulence of the air current around the wafer and exhaust gas isotropically around the wafer. (For example, refer to Patent Document 2).
  • the present invention has been made in view of the above-described problems, and a load lock device capable of effectively suppressing adhesion of foreign matters to a processing object in a load lock chamber and a vacuum processing device including the load lock device.
  • the purpose is to provide.
  • the load lock device of the present invention is configured so that the inside thereof can be evacuated, a load lock chamber in which a processing object is stored, and a load lock chamber stored in the load lock chamber. And a support base provided in the load lock chamber, and a slow vent means for supplying a vent gas to the inside of the load lock chamber in a vacuum state and switching to an atmospheric pressure state. It is characterized by being arranged symmetrically.
  • the air flow of the vent gas is constant in the entire load lock chamber (for example, the direction from the upper side to the lower side with respect to the processing object supported by the support base). Can be generated. Accordingly, it is possible to suppress the foreign matter from being rolled up due to the turbulence of the vent gas flow in the entire interior of the load lock chamber, thereby effectively suppressing the adhesion of the foreign matter to the processing object in the load lock chamber. Is possible. As a result, it is possible to suppress a decrease in the yield of the processing object.
  • the slow vent means is connected to the load lock chamber and is provided in the slow vent pipe for supplying the vent gas to the inside of the load lock chamber, and from the slow vent pipe to the load lock chamber. And a slow vent valve that adjusts the amount of vent gas flowing into.
  • the load lock device further includes an exhaust port formed in the load lock chamber for exhausting the gas inside the load lock chamber to the outside of the load lock chamber, and an exhaust pump connected to the exhaust port.
  • the exhaust port may have a mesh shape.
  • the support base may support the processing object in a vertically placed state.
  • the load lock device of the present invention has an excellent characteristic that it can effectively suppress the adhesion of foreign matter to the processing object in the load lock chamber and suppress the decrease in the yield of the processing object. Yes. Therefore, the present invention is suitably used for a vacuum processing apparatus including a load lock device and a vacuum processing chamber that performs a predetermined process on a processing object carried in from the load lock chamber in a vacuum atmosphere. .
  • the present invention it is possible to effectively suppress the adhesion of foreign matters to the processing object in the load lock chamber and to suppress the decrease in the yield of the processing object.
  • FIG. 1 is a diagram showing an overall configuration of a vacuum processing apparatus according to an embodiment of the present invention
  • FIG. 2 is a diagram showing a load lock device in the vacuum processing apparatus according to an embodiment of the present invention.
  • the vacuum processing apparatus 1 of this embodiment includes a vacuum processing chamber 2, a load lock device 3, and an atmospheric transfer chamber 24.
  • the vacuum processing chamber 2 is for performing predetermined processing (for example, sputtering, etching, plasma CVD, etc.) on a processing object S such as a glass substrate for a liquid crystal display device in a vacuum atmosphere. is there.
  • predetermined processing for example, sputtering, etching, plasma CVD, etc.
  • the atmospheric transfer chamber 24 transports the unprocessed processing object S to the load lock device 3, and carries in the processing object S that has been subjected to predetermined processing in the vacuum processing chamber 2 through the load lock device 3. It is what is done.
  • the load lock device 3 returns the processing object S processed by the vacuum processing chamber 2 from the vacuum processing chamber 2 to the atmosphere via the load lock device 3 by returning the inside of the load lock device 3 from the vacuum state to the atmospheric pressure. While carrying out to the transfer chamber 24 and returning the inside of the load lock device 3 from the atmospheric pressure to the vacuum state, the processing object S is transferred from the atmospheric transfer chamber 24 to the vacuum processing chamber 2 via the load lock device 3. It is for carrying out.
  • the load lock device 3 is configured to be evacuated inside, and is connected to the load lock chamber 4 in which the processing object S is stored and the load lock chamber 4.
  • a vent gas supply pipe 7 for supplying vent gas to the inside of the chamber 4 and a vent valve 8 provided in the vent gas supply pipe 7 for adjusting the inflow amount of the vent gas from the vent gas supply pipe 7 to the load lock chamber 4 are provided.
  • the load lock chamber 4 is formed in a container shape with a material such as aluminum.
  • the vent gas supply pipe 7 is connected to a gas supply source (not shown).
  • the load lock device 3 gradually supplies gas to the load lock chamber 4 (that is, gradually changes the gas supply amount to the load lock chamber 4).
  • Means 15 are provided.
  • the slow vent means 15 is provided in the load lock chamber 4 and gradually supplies a vent gas to the inside of the load lock chamber 4 in a vacuum state to switch to the atmospheric pressure state.
  • the slow vent means 15 is connected to the vent gas supply pipe 7 and the load lock chamber 4, and is provided in the slow vent pipe 9 for supplying the vent gas into the load lock chamber 4 and the slow vent pipe 9. And a slow vent valve 10 that adjusts the amount of vent gas flowing into the load lock chamber 4.
  • the load lock device 3 includes an exhaust means 20 for evacuating the inside of the load lock chamber 4 by exhausting the gas inside the load lock chamber 4.
  • the exhaust means 20 is formed in the load lock chamber 4 and is connected to the exhaust port 14 for exhausting the gas inside the load lock chamber 4 to the outside of the load lock chamber 4 and exhausted.
  • the load lock device 3 is housed in the load lock chamber 4 and includes a support base 13 that supports the processing object S. As shown in FIG. 2, the support base 13 is configured to support the processing object S in a vertically placed state (vertical placement), and is supported by a support piece 18 provided on the support base 13. The object S is configured to be supported substantially vertically.
  • the load lock device 3 is attached to the support base 13 and includes a transport means 30 for transporting the processing object S (that is, transporting the support base 13).
  • a gate valve 21 that can be opened and closed is provided between the vacuum processing chamber 2 and the load lock device 3, and between the load lock device 3 and the atmospheric transfer chamber 24.
  • a gate valve 22 that can be opened and closed is provided.
  • the above-described transfer means 30 is configured to transfer the processing object S between the vacuum processing chamber 2 and the load lock device 3. Similarly, in the state where the gate valve 22 is opened, the above-described transfer means 30 is configured to transfer the processing object S between the load lock device 3 and the atmospheric transfer chamber 24.
  • the processing object S is transported in a vertically placed state, not horizontally placed (horizontal placed).
  • the vacuum processing apparatus 1 is an apparatus that conveys and processes the object to be processed S upright with respect to the apparatus installation floor in a state where the processing object S is installed on the support base 13.
  • the conveyance means 30 for example, a conveyance roller is used, and the processing target portion S supported by the support base 13 is conveyed by the conveyance means 30 in the direction of the arrow X shown in FIG. Yes.
  • the conveyance means 30 will not be specifically limited if it can convey the process target S, It is good also as a structure which uses a conveyance belt etc. instead of a conveyance roller.
  • a predetermined process for example, sputtering, etching, plasma CVD, or the like
  • the processing target S such as a glass substrate for a liquid crystal display device (for example, sputtering).
  • the gate valve 21 is opened, and the processing object S after the vacuum processing supported by the support base 13 is vacuum processed by the conveying means 30.
  • the processing object S is conveyed from the chamber 2 to the load lock device 3 and is carried into the load lock chamber 4, and the processing object S is placed in the position shown in FIG. 1 inside the load lock chamber 4. It is fixed (step S2). Note that when the processing object S is carried into the load lock chamber 4, the gate valve 21 is closed.
  • step S3 the load lock chamber 4 is vented (step S3). That is, since the load lock chamber 4 into which the processing object S after the vacuum processing is carried is in a vacuum state, in order to transfer the processing object S to the atmospheric transfer chamber 24, a load gas is used by using a vent gas. Vent 4 to atmospheric pressure.
  • venting the load lock chamber 4 first, the slow vent valve 10 is opened, and the slow vent is performed for a predetermined time (for example, 3 to 5 seconds) while adjusting the amount of vent gas flowing into the load lock chamber 4. Next, the vent valve 8 is opened, and the main vent of the load lock chamber 4 is performed. When venting the load lock chamber 4, the exhaust valve 5 is in a closed state.
  • the slow vent means 15 for slow venting the load lock chamber 4 is symmetrical with respect to the support base 13 (that is, the processing object S). It is characterized in that it is arranged.
  • the air flow of the vent gas is made constant in the entire direction of the load lock chamber 4 (that is, in the direction from the upper side to the lower side with respect to the processing object S). Thus, it can be generated in the direction of the arrow Y shown in FIG.
  • the processing object S is supported by the support base 13 in a vertically placed state (vertically placed), as shown in FIG.
  • a vent gas stream since it is possible to remove the foreign matter already attached to the processing target S at the stage of the slow vent processing before the main vent processing is performed, the attachment of the foreign matter to the processing target S is further effective. Can be suppressed. As a result, it is possible to further suppress the decrease in the yield of the processing object S.
  • the gate valve 22 is opened, and the processing object S after the vacuum processing supported by the support base 13 is transferred from the load lock chamber 4 to the atmospheric transfer chamber 24 by the transfer means 30.
  • the processing object S is carried into the atmospheric transfer chamber 24 (step S4).
  • Step S5 another processing object S supported by the support table 13 is transported from the atmospheric transport chamber 24 to the load lock chamber 4 by the transport means 30, and the processing object S is carried into the load lock chamber 4.
  • Step S5 Note that when the processing object S is carried into the load lock chamber 4, the gate valve 22 is closed.
  • step S6 the load lock chamber 4 is evacuated. That is, since the load lock chamber 4 into which the processing object S before vacuum processing is carried is in an atmospheric pressure state, the load lock chamber 4 is evacuated in order to transport the processing object S to the vacuum processing chamber 2. .
  • the exhaust pump 6 is driven, and then the exhaust valve 5 is opened while the exhaust pump 6 is driven, and the load lock chamber 4 is evacuated through the exhaust port 14. .
  • the present embodiment is characterized in that the exhaust port 14 has a mesh shape as shown in FIG.
  • a cover for preventing foreign matters from being mixed is provided above the vacuum exhaust port.
  • this cover when this cover is provided, the exhaust flow is disturbed when the load lock chamber is evacuated, and the foreign matter is wound up due to the disturbance of the exhaust flow inside the load lock chamber.
  • the shape of the exhaust port 14 is made into a mesh shape instead of providing a foreign matter prevention cover, foreign matter can be prevented from entering the exhaust pump 6 from the load lock chamber 4.
  • the load lock chamber 4 is evacuated, it is possible to prevent the foreign matter from being wound due to the turbulence of the exhaust flow inside the load lock chamber 4.
  • the gate valve 21 is opened, and the processing object S before the vacuum processing supported by the support base 13 is transferred from the load lock chamber 4 to the vacuum processing chamber 2 by the transfer means 30. And the processing object S is carried into the vacuum processing chamber 2 (step S7).
  • the gate valve 21 is closed.
  • step S1-S7 is repeatedly performed, and it has the structure by which the vacuum processing of the several process target object S is performed.
  • the processing object S is transported in a vertical (vertical) state.
  • the processing object S is in a horizontal (horizontal) state. It is good also as a structure to convey. Also in this case, the same effect as the above-described embodiment can be obtained.
  • a vacuum processing apparatus provided with a load lock device.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

 ロードロック装置(1)は、内部を真空排気可能に構成され、処理対象物(S)が収納されるロードロック室(4)と、ロードロック室(4)の内部に収納され、処理対処物(S)を支持する支持台(13)と、ロードロック室(4)に設けられ、真空状態にあるロードロック室(4)の内部にベントガスを供給して、大気圧状態に切り替えるスローベント手段(15)とを備える。スローベント手段(15)は、支持台(13)に対して左右対称に配置されている。

Description

ロードロック装置及びそれを備えた真空処理装置
 本発明は、ロードロック室内における処理対象物への異物(パーティクル)の付着を抑制することができるロードロック装置及びそれを備えた真空処理装置に関する。
 例えば、液晶表示装置用のガラス基板の製造プロセスや、半導体ウェハの製造プロセスにおいては、スパッタリング、エッチング、及びプラズマCVD等の真空処理が行なわれる。
 そして、このような真空処理を行う真空処理装置においては、真空処理を行う処理室にガラス基板等の処理対象物を搬入または搬出する際に、真空処理室の内部を、一旦、大気圧に戻してしまうと、真空処理室の内壁にガスが吸着する等の現象が生じる。従って、真空処理室の内部を、次の処理が開始可能な状態にするまでに、非常に長時間を要することになり、結果として、装置の処理能力が大幅に低下してしまうという問題があった。
 そこで、このような不都合を回避すべく、ロードロック装置を設け、このロードロック装置の内部のみを大気圧に戻すことにより、ロードロック装置を介して、処理対象物を真空処理室に搬入及び搬出することが行われている。そして、このようなロードロック装置を設けて、真空処理室の内部を高真空にした状態で真空処理を行うことにより、装置の処理能力の低下を防止することが可能になる。
 ここで、ロードロック装置の内部においては、真空処理室内における反応生成物や処理対象物の搬送機構等からの発塵により、多数の異物が存在する。そして、ロードロック装置の真空排気やベントを行う際に、この異物が、急激な気体の流れによって、ロードロック装置の内部で舞い上がって、処理対象物に付着し、結果として、不良品が発生して、処理対象物の歩留まりが低下するという問題が生じていた。
 そこで、このような異物に起因する歩留まりの低下を抑制するためのロードロック装置が提案されている。より具体的には、例えば、ロードロック室内に導入されるベントガスを拡散させるガス拡散板を備えたロードロック装置が提案されている。そして、このようなガス拡散板を設けることにより、導入ガスの流速を低減して、異物の巻き上げを抑制することができると記載されている(例えば、特許文献1参照)。
 また、ウェハ支持部とロードロック排気口との間に、ウェハ支持部を包括するように配置され、多数の孔を有する干渉板を備えたロードロック装置が提案されている。そして、このような干渉板を設けることにより、ウェハ周辺部の気流の乱れを抑制して、ウェハ周辺において、ガスを等方的に排気することが可能になるため、異物の巻き上げを抑制することができると記載されている(例えば、特許文献2参照)。
特開平8-124993号公報 特開平6-318536号公報
 しかし、上記特許文献1,2に記載のロードロック装置においては、処理対象物上における気流を抑制することにより、異物の巻き上げを、ある程度は抑制することはできるものの、ロードロック装置の内部の全体におけるベントガスの気流の乱れに起因する異物の巻き上げを十分に抑制することが困難であった。従って、処理対象物における異物の付着を十分に抑制することができず、結果として、処理対象物の歩留まりが低下するという問題が生じていた。
 そこで、本発明は、上述の問題に鑑みてなされたものであり、ロードロック室内における処理対象物への異物の付着を効果的に抑制することができるロードロック装置及びそれを備えた真空処理装置を提供することを目的とする。
 上記目的を達成するために、本発明のロードロック装置は、内部を真空排気可能に構成され、処理対象物が収納されるロードロック室と、ロードロック室の内部に収納され、処理対処物を支持する支持台と、ロードロック室に設けられ、真空状態にあるロードロック室の内部にベントガスを供給して、大気圧状態に切り替えるスローベント手段とを備え、スローベント手段が、支持台に対して左右対称に配置されていることを特徴とする。
 同構成によれば、ロードロック室のスローベントを行う際に、ロードロック室内の全体において、ベントガスの気流を一定方向(例えば、支持台に支持された処理対象物に対する上方から下方への方向)に生じさせることが可能になる。従って、ロードロック室の内部の全体におけるベントガスの気流の乱れに起因する異物の巻き上げを抑制することが可能になるため、ロードロック室内における処理対象物への異物の付着を効果的に抑制することが可能になる。その結果、処理対象物の歩留まりの低下を抑制することが可能になる。
 本発明のロードロック装置においては、スローベント手段は、ロードロック室に接続され、ロードロック室の内部にベントガスを供給するスローベント管と、スローベント管に設けられ、スローベント管からロードロック室へのベントガスの流入量を調節するスローベント弁とにより構成されていてもよい。
 本発明のロードロック装置においては、ロードロック室に形成され、ロードロック室の内部のガスをロードロック室の外部へと排気するための排気口と、排気口に接続された排気ポンプとを更に備え、排気口がメッシュ形状を有していてもよい。
 同構成によれば、ロードロック室から排気ポンプへの異物の侵入を防止することができるとともに、ロードロック室の真空排気を行う際に、ロードロック室の内部における排気流の乱れに起因する異物の巻き上げを抑制することが可能になる。従って、ロードロック室の真空排気を行う際に、ロードロック室内における処理対象物への異物の付着を効果的に抑制することが可能になる。その結果、処理対象物の歩留まりの低下を抑制することが可能になる。
 本発明のロードロック装置においては、支持台が、処理対象物を縦置きの状態で支持する構成としてもよい。
 同構成によれば、本ベント処理を行う前のスローベント処理の段階で、既に処理対象物に付着している異物を除去することが可能になるため、処理対象物への異物の付着をより一層効果的に抑制することが可能になる。その結果、処理対象物の歩留まりの低下をより一層抑制することが可能になる。
 また、本発明のロードロック装置は、ロードロック室内における処理対象物への異物の付着を効果的に抑制して、処理対象物の歩留まりの低下を抑制することができるという優れた特性を備えている。従って、本発明は、ロードロック装置と、ロードロック室から搬入されてくる処理対象物に対して、真空雰囲気下で所定の処理を施す真空処理室とを備える真空処理装置に好適に使用される。
 本発明によれば、ロードロック室内における処理対象物への異物の付着を効果的に抑制して、処理対象物の歩留まりの低下を抑制することができる。
本発明の実施形態に係る真空処理装置の全体構成を示す図である。 本発明の実施形態に係る真空処理装置におけるロードロック装置を示す図である。 本発明の本実施形態に係る真空処理装置による処理対象物の処理フローを説明するための図である。 本発明の実施形態に係る真空処理装置におけるロードロック装置の真空排気口の形状を示す図である。 本発明の変形例に係るロードロック装置を示す図である。
 以下、本発明の実施形態を図面に基づいて詳細に説明する。尚、本発明は、以下の実施形態に限定されるものではない。
 図1は、本発明の実施形態に係る真空処理装置の全体構成を示す図であり、図2は、本発明の実施形態に係る真空処理装置におけるロードロック装置を示す図である。
 図1に示すように、本実施形態の真空処理装置1は、真空処理室2とロードロック装置3と大気搬送室24とを備えている。
 真空処理室2は、例えば、液晶表示装置用のガラス基板等の処理対象物Sに対して、真空雰囲気下で所定の処理(例えば、スパッタリング、エッチング、及びプラズマCVD等)を施すためのものである。
 大気搬送室24は、未処理の処理対象物Sをロードロック装置3へ搬送するとともに、ロードロック装置3を介して、真空処理室2にて所定の処理が施された処理対象物Sが搬入されるものである。
 ロードロック装置3は、ロードロック装置3の内部を真空状態から大気圧に戻すことにより、ロードロック装置3を介して、真空処理室2により処理された処理対象物Sを真空処理室2から大気搬送室24へと搬出するとともに、ロードロック装置3の内部を大気圧から真空状態に戻すことにより、ロードロック装置3を介して、処理対象物Sを大気搬送室24から真空処理室2へと搬出するためのものである。
 このロードロック装置3は、図1、図2に示すように、内部を真空排気可能に構成され、処理対象物Sが収納されるロードロック室4と、ロードロック室4に接続され、ロードロック室4の内部にベントガスを供給するベントガス供給管7と、ベントガス供給管7に設けられ、ベントガス供給管7からロードロック室4へのベントガスの流入量を調節するベントバルブ8とを備えている。
 ロードロック室4は、例えば、アルミニウム等の材質により、容器状に形成されている。また、ベントガス供給管7は、ガス供給源(図示せず)に接続されている。
 また、ロードロック装置3は、ロードロック室4へのガス供給を徐々に行う(即ち、ロードロック室4へのガス供給量を徐々に変化させる)、いわゆる「スローベント」を行うためのスローベント手段15を備えている。このスローベント手段15は、ロードロック室4に設けられており、真空状態にあるロードロック室4の内部にベントガスを徐々に供給して、大気圧状態に切り替えるものである。
 また、スローベント手段15は、ベントガス供給管7及びロードロック室4に接続され、ロードロック室4の内部にベントガスを供給するスローベント管9と、スローベント管9に設けられ、スローベント管9からロードロック室4へのベントガスの流入量を調節するスローベント弁10とにより構成されている。
 また、ロードロック装置3は、ロードロック室4の内部のガスを排気することにより、ロードロック室4の内部の真空排気を行うための排気手段20を備えている。
 この排気手段20は、ロードロック室4に形成され、ロードロック室4の内部のガスをロードロック室4の外部へと排気するための排気口14と、排気口14に接続され、排気されたガスが供給される排気管34と、排気管34に設けられた排気弁5と、排気弁5を介して、排気管34及び排気口14に接続された排気ポンプ6により構成されている。
 そして、排気ポンプ6が駆動状態であって、排気弁5が開いている状態の時に、ロードロック室4の内部の真空排気が行われる構成となっている。
 また、ロードロック装置3は、ロードロック室4の内部に収納され、処理対象物Sを支持する支持台13を備えている。この支持台13は、図2に示すように、処理対象物Sを縦置き(垂直置き)の状態で支持するように構成されており、支持台13に設けられた支持片18により、処理対象物Sが略垂直に支持されるように構成されている。
 また、ロードロック装置3は、支持台13に取り付けられるとともに、処理対象物Sを搬送する(即ち、支持台13を搬送する)ための搬送手段30を備えている。
 また、図1に示すように、真空処理室2とロードロック装置3との間には、開閉可能なゲートバルブ21が設けられるととともに、ロードロック装置3と大気搬送室24との間には、開閉可能なゲートバルブ22が設けられている。
 そして、ゲートバルブ21が開いた状態において、上述の搬送手段30が、処理対象物Sを、真空処理室2とロードロック装置3との間で搬送する構成となっている。また、同様に、ゲートバルブ22が開いた状態において、上述の搬送手段30が、処理対象物Sを、ロードロック装置3と大気搬送室24との間で搬送する構成となっている。
 この際、本実施形態においては、図1、図2に示すように、処理対象物Sは、横置き(水平置き)ではなく、縦置き(垂直置き)の状態で搬送される構成となっている。即ち、真空処理装置1は、処理対象物Sを支持台13に設置した状態で、装置設置床に対して垂直に立てて搬送及び処理する装置である。
 また、搬送手段30としては、例えば、搬送コロが使用され、支持台13に支持された処理対象部Sが、搬送手段30により、図1に示す矢印Xの方向に搬送される構成となっている。なお、搬送手段30は、処理対象物Sを搬送できるものであれば、特に限定されず、搬送コロの代わりに、搬送ベルト等を使用する構成としても良い。
 次に、本実施形態における真空処理装置1による処理対象物の処理フローを説明する。まず、真空処理室2において、例えば、液晶表示装置用のガラス基板等の処理対象物Sに対して、真空雰囲気下で所定の処理(例えば、スパッタリング、エッチング、及びプラズマCVD等)が行われる(ステップS1)。
 次いで、ロードロック装置3のロードロック室4の内部を真空にした状態で、ゲートバルブ21を開き、支持台13に支持された真空処理後の処理対象物Sが、搬送手段30により、真空処理室2からロードロック装置3へと搬送されて、処理対象物Sがロードロック室4の内部へと搬入され、処理対象物Sが、ロードロック室4の内部にて、図1に示す位置に固定される(ステップS2)。なお、処理対象物Sがロードロック室4の内部へと搬入されると、ゲートバルブ21が閉じられる。
 次いで、ロードロック室4のベントを行う(ステップS3)。即ち、真空処理後の処理対象物Sが搬入されたロードロック室4は真空状態であるため、処理処理物Sを大気搬送室24へと搬送するために、ベントガスを使用して、ロードロック室4を大気圧までベントする。
 ロードロック室4のベントは、まず、スローベント弁10を開いて、ロードロック室4へのベントガスの流入量を調節しながら、スローベントを、所定時間(例えば、3~5秒間)行う。次いで、ベントバルブ8を開いて、ロードロック室4の本ベントを行う。なお、ロードロック室4のベントを行う際には、排気弁5は閉じられた状態となっている。
 ここで、本実施形態においては、図1に示すように、ロードロック室4のスローベントを行うためのスローベント手段15が、支持台13(即ち、処理対象物S)に対して左右対称に配置されている点に特徴がある。
 そして、このような構成により、ロードロック室4のスローベントを行う際に、ロードロック室4内の全体において、ベントガスの気流を一定方向(即ち、処理対象物Sに対する上方から下方への方向であって、図1に示す矢印Yの方向)に生じさせることが可能になる。
 従って、ロードロック室4の内部の全体におけるベントガスの気流の乱れに起因する異物の巻き上げを抑制することが可能になるため、ロードロック室4内における処理対象物Sへの異物の付着を効果的に抑制することが可能になる。その結果、処理対象物Sの歩留まりの低下を抑制することが可能になる。
 また、上述のごとく、本実施形態においては、支持台13により、処理対象物Sを縦置き(垂直置き)の状態で支持するため、図1に示すように、処理対象物Sの全体に対して、ベントガスの気流を当てることが可能になる。従って、本ベント処理を行う前のスローベント処理の段階で、既に処理対象物Sに付着している異物を除去することが可能になるため、処理対象物Sへの異物の付着をより一層効果的に抑制することが可能になる。その結果、処理対象物Sの歩留まりの低下をより一層抑制することが可能になる。
 次いで、ロードロック室4のベントが終了後、ゲートバルブ22が開き、支持台13に支持された真空処理後の処理対象物Sが、搬送手段30により、ロードロック室4から大気搬送室24へと搬送され、処理対象物Sが大気搬送室24の内部へと搬入される(ステップS4)。
 次いで、支持台13に支持された別の処理対象物Sが、搬送手段30により、大気搬送室24からロードロック室4へと搬送され、処理対象物Sがロードロック室4の内部へと搬入される(ステップS5)。なお、処理対象物Sがロードロック室4の内部へと搬入されると、ゲートバルブ22が閉じられる。
 次いで、ロードロック室4の真空排気を行う(ステップS6)。即ち、真空処理前の処理対象物Sが搬入されたロードロック室4は大気圧状態であるため、処理対象物Sを真空処理室2へと搬送する為に、ロードロック室4を真空排気する。
 より具体的には、まず、排気ポンプ6を駆動し、次いで、排気ポンプ6が駆動されている状態で、排気弁5を開き、排気口14を介して、ロードロック室4の真空排気を行う。
 ここで、本実施形態においては、図4に示すように、排気口14がメッシュ形状を有する点に特徴がある。
 従来のロードロック装置においては、ロードロック室の内部で発生した異物が排気ポンプへ侵入することを防止するために、真空排気口の上部に異物混入防止用のカバーを設けていた。しかし、このカバーを設けると、ロードロック室の真空排気を行う際に、排気流の乱れが生じて、ロードロック室の内部において、排気流の乱れに起因する異物の巻き上げが生じていた。
 一方、本実施形態においては、異物混入防止用のカバーを設ける代わりに、排気口14の形状をメッシュ形状にしているため、ロードロック室4から排気ポンプ6への異物の侵入を防止することができるとともに、ロードロック室4の真空排気を行う際に、ロードロック室4の内部における排気流の乱れに起因する異物の巻き上げを抑制することが可能になる。
 従って、ロードロック室4の真空排気を行う際に、ロードロック室4内における処理対象物Sへの異物の付着を効果的に抑制することが可能になる。その結果、処理対象物Sの歩留まりの低下を抑制することが可能になる。
 次いで、ロードロック室4の真空排気が終了後、ゲートバルブ21が開き、支持台13に支持された真空処理前の処理対象物Sが、搬送手段30により、ロードロック室4から真空処理室2へと搬送され、処理対象物Sが真空処理室2の内部へと搬入される(ステップS7)。なお、処理対象物Sが真空処理室2の内部へと搬入されると、ゲートバルブ21が閉じられる。
 そして、上述のステップS1~S7の処理が繰り返し行われ、複数の処理対象物Sの真空処理が行われる構成となっている。
 なお、上記実施形態は以下のように変更しても良い。
 上述の実施形態においては、処理対象物Sを縦置き(垂直置き)の状態で搬送する構成としたが、図5に示すように、処理対象物Sを横置き(水平置き)の状態にして搬送する構成としてもよい。この場合も、上述の実施形態と同様の効果を得ることができる。
 本発明の活用例としては、ロードロック装置を備える真空処理装置が挙げられる。
 1  真空処理装置
 2  真空処理室
 3  ロードロック装置
 4  ロードロック室
 5  排気弁
 6  排気ポンプ
 7  ベントガス供給管
 8  ベントバルブ
 9  スローベント管
 10  スローベント弁
 13  支持台
 14  排気口
 15  スローベント手段
 18  支持片
 20  排気手段
 21  ゲートバルブ
 22  ゲートバルブ
 24  大気搬送室
 30  搬送手段
 34  排気管

Claims (5)

  1.  内部を真空排気可能に構成され、処理対象物が収納されるロードロック室と、
     前記ロードロック室の内部に収納され、前記処理対処物を支持する支持台と、
     前記ロードロック室に設けられ、真空状態にある前記ロードロック室の内部にベントガスを供給して、大気圧状態に切り替えるスローベント手段と
     を備えるロードロック装置において、
     前記スローベント手段が、前記支持台に対して左右対称に配置されていることを特徴とするロードロック装置。
  2.  前記スローベント手段は、前記ロードロック室に接続され、該ロードロック室の内部にベントガスを供給するスローベント管と、該スローベント管に設けられ、該スローベント管から前記ロードロック室へのベントガスの流入量を調節するスローベント弁とにより構成されていることを特徴とする請求項1に記載のロードロック装置。
  3.  前記ロードロック室に形成され、該ロードロック室の内部のガスを該ロードロック室の外部へと排気するための排気口と、
     前記排気口に接続された排気ポンプと
     を更に備え、
     前記排気口がメッシュ形状を有することを特徴とする請求項1または請求項2に記載のロードロック装置。
  4.  前記支持台は、前記処理対象物を縦置きの状態で支持することを特徴とする請求項1~請求項3のいずれか1項に記載のロードロック装置。
  5.  請求項1~請求項4のいずれか1項に記載の前記ロードロック装置と、
     前記ロードロック室から搬入されてくる前記処理対象物に対して、真空雰囲気下で所定の処理を施す真空処理室と
     を備えることを特徴とする真空処理装置。
PCT/JP2012/008175 2011-12-27 2012-12-20 ロードロック装置及びそれを備えた真空処理装置 WO2013099178A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201280064260.4A CN104025278B (zh) 2011-12-27 2012-12-20 装载闭锁装置和具备它的真空处理装置
JP2013551222A JP5869003B2 (ja) 2011-12-27 2012-12-20 ロードロック装置及びそれを備えた真空処理装置
KR1020147021162A KR101632043B1 (ko) 2011-12-27 2012-12-20 로드록 장치 및 이를 구비한 진공처리장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011286512 2011-12-27
JP2011-286512 2011-12-27

Publications (1)

Publication Number Publication Date
WO2013099178A1 true WO2013099178A1 (ja) 2013-07-04

Family

ID=48696722

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2012/008175 WO2013099178A1 (ja) 2011-12-27 2012-12-20 ロードロック装置及びそれを備えた真空処理装置

Country Status (4)

Country Link
JP (1) JP5869003B2 (ja)
KR (1) KR101632043B1 (ja)
CN (1) CN104025278B (ja)
WO (1) WO2013099178A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020038972A (ja) * 2015-05-15 2020-03-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ロードロックチャンバ、ロードロックチャンバを有する真空処理システム及びロードロックチャンバを排気する方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101998578B1 (ko) * 2015-08-04 2019-07-10 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05175147A (ja) * 1991-12-24 1993-07-13 Tokyo Electron Ltd 真空装置
JP2000036529A (ja) * 1998-07-21 2000-02-02 Sharp Corp 真空処理装置
JP2002231783A (ja) * 2001-02-01 2002-08-16 Matsushita Electric Ind Co Ltd 半導体製造装置
JP2011192859A (ja) * 2010-03-16 2011-09-29 Nec Engineering Ltd テープ貼付装置及びテープ貼付方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06318536A (ja) 1993-05-10 1994-11-15 Matsushita Electric Ind Co Ltd 半導体製造装置
JPH08124993A (ja) 1994-10-27 1996-05-17 Kokusai Electric Co Ltd 半導体製造装置のロードロック室
JP2009030720A (ja) * 2007-07-26 2009-02-12 Tadashi Kamimura ベントバルブ
WO2011102405A1 (ja) * 2010-02-18 2011-08-25 株式会社アルバック 縦型真空装置及び処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05175147A (ja) * 1991-12-24 1993-07-13 Tokyo Electron Ltd 真空装置
JP2000036529A (ja) * 1998-07-21 2000-02-02 Sharp Corp 真空処理装置
JP2002231783A (ja) * 2001-02-01 2002-08-16 Matsushita Electric Ind Co Ltd 半導体製造装置
JP2011192859A (ja) * 2010-03-16 2011-09-29 Nec Engineering Ltd テープ貼付装置及びテープ貼付方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020038972A (ja) * 2015-05-15 2020-03-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ロードロックチャンバ、ロードロックチャンバを有する真空処理システム及びロードロックチャンバを排気する方法

Also Published As

Publication number Publication date
JPWO2013099178A1 (ja) 2015-04-30
CN104025278B (zh) 2017-03-29
CN104025278A (zh) 2014-09-03
KR101632043B1 (ko) 2016-06-20
KR20140107646A (ko) 2014-09-04
JP5869003B2 (ja) 2016-02-24

Similar Documents

Publication Publication Date Title
KR101923765B1 (ko) 실리콘막의 성막 방법 및 성막 장치
KR100859602B1 (ko) 기판처리 장치 및 반도체 디바이스의 제조방법
KR101656790B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 기록 매체
JP2012501549A (ja) 大面積基板処理システム用ロードロックチャンバ
JP2017514305A (ja) 真空処理システムのためのロードロックチャンバ、及び真空処理システム
JP2018064058A (ja) 成膜装置、成膜装置のクリーニング方法及び記憶媒体
JP2007095856A (ja) 真空処理装置
JP5869003B2 (ja) ロードロック装置及びそれを備えた真空処理装置
JP2009267012A (ja) 真空処理装置及び真空処理方法
US20150279712A1 (en) Substrate processing apparatus, method of processing substrate, and method of manufacturing semiconductor device
TWI447056B (zh) 貯存晶圓的方法
JP2014232816A (ja) 基板処理装置、半導体装置の製造方法および基板処理方法
JP2013138217A (ja) 基板処理装置、半導体製造方法、基板処理方法、及び異物除去方法
JP2007280885A (ja) プラズマ処理装置
JP2012114456A (ja) 搬送容器
KR20130016359A (ko) 기판 처리 방법 및 기판 처리 시스템
JP2004281832A (ja) 半導体製造装置内での半導体基板搬送方法および半導体製造装置
JP5848788B2 (ja) 基板処理装置、半導体製造方法、基板処理方法
TWI474373B (zh) Airtight module and exhaust method of the airtight module
WO2006003880A1 (ja) 真空処理装置
JP2005347667A (ja) 半導体製造装置
KR100724284B1 (ko) 플라즈마 처리장치
JP2011091323A (ja) 半導体製造装置
JP5337532B2 (ja) 真空処理装置
JP2009290177A (ja) 半導体処理装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12862251

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2013551222

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20147021162

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 12862251

Country of ref document: EP

Kind code of ref document: A1

122 Ep: pct application non-entry in european phase

Ref document number: 12862251

Country of ref document: EP

Kind code of ref document: A1