WO2012050321A2 - 3차원 구조의 메모리 소자를 제조하는 방법 및 장치 - Google Patents

3차원 구조의 메모리 소자를 제조하는 방법 및 장치 Download PDF

Info

Publication number
WO2012050321A2
WO2012050321A2 PCT/KR2011/007402 KR2011007402W WO2012050321A2 WO 2012050321 A2 WO2012050321 A2 WO 2012050321A2 KR 2011007402 W KR2011007402 W KR 2011007402W WO 2012050321 A2 WO2012050321 A2 WO 2012050321A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
insulating layer
supplying
memory device
sacrificial layer
Prior art date
Application number
PCT/KR2011/007402
Other languages
English (en)
French (fr)
Other versions
WO2012050321A3 (ko
Inventor
조성길
김해원
우상호
신승우
장길순
오완석
Original Assignee
주식회사 유진테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 유진테크 filed Critical 주식회사 유진테크
Priority to CN201180048997.2A priority Critical patent/CN103155139B/zh
Priority to JP2013531511A priority patent/JP5705990B2/ja
Priority to US13/822,416 priority patent/US9425057B2/en
Publication of WO2012050321A2 publication Critical patent/WO2012050321A2/ko
Publication of WO2012050321A3 publication Critical patent/WO2012050321A3/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Definitions

  • the present invention relates to a method and apparatus for manufacturing a memory device, and more particularly, to a method and apparatus for manufacturing a memory device having a three-dimensional structure.
  • An object of the present invention is to provide a method and apparatus for manufacturing a memory device that can reduce the volume of the memory device.
  • Another object of the present invention is to provide a method and apparatus for efficiently manufacturing a three-dimensional memory device.
  • a method of manufacturing a memory device having a three-dimensional structure includes alternately stacking one or more insulating layers and one or more sacrificial layers on a substrate; Forming a through hole penetrating the insulating layer and the sacrificial layer; Forming a pattern filling the through hole; Forming an opening penetrating the insulating layer and the sacrificial layer; And removing the sacrificial layer by supplying an etchant through the opening, and stacking the insulating layer comprises SiH 4 , Si 2 H 6 , Si 3 H 8 , and Si 4 H 10 on the substrate.
  • Supplying at least one gas selected from the group including the step of depositing a first silicon oxide layer, and the stacking of the sacrificial layer may include supplying dichlorosilane (SiCl 2 H 2 ) to the substrate to form a second silicon oxide layer. Depositing.
  • the insulating layer and the sacrificial layer may have an etch selectivity with respect to the etchant, and the etch rate of the sacrificial layer may be 5 to 300 times or more than that of the insulating layer.
  • the etchant may comprise either HF or BOE.
  • the stacking of the insulating layer may further include supplying an ethyl series gas, and the first silicon oxide layer may be silicon carbon oxide (SiCO).
  • the stacking of the insulating layer may further include supplying a gas of methyl series, and the first silicon oxide layer may be silicon carbon oxide (SiCO).
  • the temperature of the substrate may be maintained at 300 to 790 degrees, and the process pressure of the substrate may be maintained at 10 mTorr to 250 Torr.
  • the first silicon oxide film and the second silicon oxide film may have different thicknesses.
  • the alternate stacking of the insulating layer and the sacrificial layer may further include pressing an edge portion of the substrate using an edge ring.
  • the edge portion of the substrate may correspond to 0.5 mm to 3 mm inward from the edge of the substrate.
  • the edge ring may be a ceramic material.
  • a method of manufacturing a memory device having a three-dimensional structure includes alternately stacking one or more insulating layers and one or more sacrificial layers on a substrate; Forming a through hole penetrating the insulating layer and the sacrificial layer; Forming a pattern filling the through hole; Forming an opening penetrating the insulating layer and the sacrificial layer; And removing the sacrificial layer by supplying an etchant through the opening, and stacking the insulating layer comprises SiH 4 , Si 2 H 6 , Si 3 H 8 , and Si 4 H 10 on the substrate.
  • Supplying at least one gas selected from the group comprising the step of depositing a first silicon oxide layer, and the step of depositing the sacrificial layer is SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , boron or phosphorus is injected by supplying at least one gas selected from the group containing dichlorosilane (SiCl 2 H 2 ) and at least one gas selected from the group containing B 2 H 6 , PH 3 And depositing a second silicon oxide film.
  • an apparatus for manufacturing a memory device having a three-dimensional structure by alternately stacking one or more insulating layers and one or more sacrificial layers on a substrate includes a chamber in which a process for a substrate is performed; A substrate support installed in the chamber and on which the substrate is placed; And supplying at least one gas selected from the group including SiH 4 , Si 2 H 6 , Si 3 H 8 , and Si 4 H 10 to the substrate when the insulating layer is laminated on the substrate, It includes a showerhead for supplying dichlorosilane (SiCl 2 H 2 ) to the substrate when the sacrificial layer is laminated.
  • an apparatus for manufacturing a memory device having a three-dimensional structure by alternately stacking one or more insulating layers and one or more sacrificial layers on a substrate includes a chamber in which a process for the substrate is performed; A substrate support installed in the chamber and on which the substrate is placed; And supplying at least one gas selected from the group including SiH 4 , Si 2 H 6 , Si 3 H 8 , and Si 4 H 10 to the substrate when the insulating layer is laminated on the substrate, At least one gas selected from the group comprising SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , dichlorosilane (SiCl 2 H 2 ), B 2 H 6 , And a showerhead for supplying one or more gases selected from the group comprising PH 3 .
  • the volume of the memory device may be reduced by forming the memory device in a three-dimensional structure.
  • the sacrificial layer may be effectively removed while the insulating layer is supported by a pattern such as a polysilicon thin film used as a channel of the semiconductor transistor.
  • a pattern such as a polysilicon thin film used as a channel of the semiconductor transistor.
  • 1 to 6 are schematic cross-sectional views illustrating a method of manufacturing a memory device according to an embodiment of the present invention.
  • FIG. 7 is a graph showing the relationship between the amount of ethyl-based gas supplied and the etch rate of the deposited thin film.
  • FIG. 8 is a schematic view of a semiconductor manufacturing apparatus according to an embodiment of the present invention.
  • FIG. 9 is a schematic view of a memory device manufacturing apparatus according to another embodiment of the present invention.
  • FIG. 10 is a perspective view schematically illustrating the edge ring shown in FIG. 9.
  • 11 and 12 are views showing the operation of the edge ring shown in FIG.
  • FIGS. 1 to 6 are schematic cross-sectional views illustrating a method of manufacturing a memory device according to an embodiment of the present invention.
  • a method of manufacturing a memory device will be described with reference to FIGS. 1 to 6.
  • a substrate 105 may be provided.
  • the substrate 105 may include a semiconductor material, such as a group IV semiconductor, a group III-V compound semiconductor, or a group II-VI oxide semiconductor.
  • the group IV semiconductor may comprise silicon, germanium or silicon-germanium.
  • Substrate 105 may be provided as a bulk wafer or an epitaxial layer.
  • impurities may be implanted in the upper portion of the substrate 105 to define the impurity region 110.
  • the insulating layers 115 and the sacrificial layers 120 may be alternately stacked on the substrate 105.
  • the insulating layers 115 and the sacrificial layers 120 may form multiple layers of 8 ⁇ 8, 18 ⁇ 18, or n ⁇ n.
  • the insulating layer 115 is first stacked, and the sacrificial layer 120 is later stacked. However, the stacking order of the insulating layer 115 and the sacrificial layer 120 may be changed as necessary.
  • the insulating layer 115 may be silicon dioxide (SiO 2 ), and may be formed by reacting silane (SiH 4 ) and nitrogen oxide (N 2 O) supplied on the substrate 105.
  • Silane (SiH 4 ) may be replaced with Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , and the like.
  • the sacrificial layer 120 may be a silicon oxide film and may be formed by reacting dichlorosilane (SiCl 2 H 2 ) (DCS) and nitrogen oxide (N 2 O) supplied on the substrate 105.
  • the sacrificial layer 120 includes SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , and dichlorosilane (SiCl 2 H 2 ) on the substrate 105.
  • It may be a silicon oxide film formed by supplying at least one gas selected from the group comprising one or more gases selected from B 2 H 6 , PH 3 , in this case, boron (phosphorus) (boron) on the silicon oxide film And phosphorus can be injected simultaneously).
  • the plurality of through holes 125 may be formed by etching the insulating layers 115 and the sacrificial layers 120, and the through holes 125 may include the insulating layers. Penetrates 115 and sacrificial layers 120.
  • the through holes 125 may be formed using known photolithography and etching techniques.
  • the patterns 130 may be formed to fill the through holes 125 through a channel formation process (or a process of forming a polysilicon thin film) for forming a semiconductor transistor.
  • the patterns 130 may have a hollow cylindrical shape, and likewise, the patterns 130 penetrate the insulating layers 115 and the sacrificial layers 120.
  • the patterns 130 may be formed in a polycrystalline structure or may be in a thin film shape such as an epitaxial layer of a single crystal structure.
  • the openings 135 may be formed by etching the insulating layers 115 and the sacrificial layers 120 between the patterns 130. Openings 135 may be formed using photolithography and etching techniques.
  • the sacrificial layers 120 may be removed.
  • the insulating layer 115 is a silicon oxide film formed by silane
  • the sacrificial layer 120 is a silicon oxide film formed by dichlorosilane or SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10.
  • the following shows the characteristics of the silicon oxide film formed by silane and the silicon oxide film formed by dichlorosilane.
  • the sacrificial layer 120 has an etch selectivity with respect to the insulating layer 115, and the etch rate of the sacrificial layer 120 is about 20 times that of the insulating layer 115. Has the size of. Therefore, when the insulating layer 115 and the sacrificial layer 120 are exposed to the etchant for the same time, the size of the etched sacrificial layer 120 is 20 times or more than the size of the etched insulating layer 115, the insulation The etched degree of layer 115 is very small.
  • the silicon oxide film having a Cl group such as the silicon oxide film formed by dichlorosilane, has a relatively low density of the deposited thin film and shows a high etching rate.
  • the sacrificial layer 120 may be removed using the above principle. Isotropic etching may be used to penetrate the etchant from the openings 135 between the insulating layers 115, and the isotropic etching may include wet etching or chemical dry etch.
  • the etchant may comprise either HF or buffered oxide etch (BOE). Accordingly, the sacrificial layers 120 between the insulating layers 115 may be removed to form tunnels 140 connected to the openings 135. Sidewalls of the patterns 130 may be exposed by the tunnels 140.
  • Field 150 may be formed.
  • the storage media 150 may sequentially form the tunneling insulating layer 142, the charge storage layer 144, and the blocking insulating layer 146.
  • the conductive layer 155 may then be formed on the storage media 150.
  • the storage media 150 and the conductive layer 155 may be formed using chemical vapor deposition or plating with high edge coating properties.
  • the conductive layer exposed by the openings 135 of FIG. 4 may be selectively etched to form the ground select gate electrodes 162 and the control gate electrodes 164.
  • the string select gate electrodes 166 may be formed.
  • an ethyl series gas (eg, C 2 H 4 ) or a methyl series gas (eg, CH 3 ) may be supplied together with the silane (SiH 4 ).
  • the insulating layer 115 may be a silicon carbon oxide (SiCO) thin film. Since the insulating layer 115 made of a SiCO thin film has a larger etching selectivity than the sacrificial layer 120 formed by the dichlorosilane described above, the insulating layer 115 is damaged when the sacrificial layer 120 is removed. The amount can be minimized.
  • 7 is a graph showing the relationship between the amount of ethyl-based gas supplied and the etch rate of the deposited thin film. As shown in FIG. 7, as the ethyl-based gas is supplied, the etch rate of the deposited thin film may be reduced, and thus the etch selectivity with the sacrificial layer 120 may be adjusted as required.
  • the semiconductor manufacturing apparatus 10 has an introduction part 12 through which a source gas or a reaction gas is introduced, and the source gas or reaction gas is introduced through the introduction part 12, and the shower head 13 is introduced. Inject through the inside of the chamber (11).
  • the silane or dichlorosilane may be supplied at 1-1000 sccm, and the reaction gas (eg, N 2 O) may be supplied at 100-50000 sccm.
  • the reaction gas eg, N 2 O
  • ethyl-based gas eg, C 2 H 4
  • methyl-based gas eg, CH 3
  • the substrate 15 to be subjected to the process is placed on top of the heater 14, and the heater 14 is supported by the heater support 16.
  • the heater 14 may maintain the temperature of the substrate 300 to 790 degrees during the process, wherein the pressure inside the chamber 11 may maintain 10 mTorr to 250 Torr.
  • the substrate 15 having completed the process is discharged to the outside through the discharge unit 17.
  • FIG. 9 is a schematic view of a memory device manufacturing apparatus according to another exemplary embodiment of the present invention
  • FIG. 10 is a perspective view schematically illustrating the edge ring shown in FIG. 9.
  • FIG. 8 only portions distinguished from FIG. 8 will be described, and a description omitted may be replaced with the description of FIG. 8.
  • the memory device manufacturing apparatus 210 includes a substrate support 214 installed inside the chamber 211, and the substrate support 214 is supported by the support 216. As will be described later, the substrate support 214 is raised and lowered together with the support 216 by a separate driving unit (not shown), whereby the substrate 215 can be released into and out of the chamber 211. (Shown in FIG. 9) and the process position (shown in FIG. 11) at which the process for the substrate 215 is performed.
  • the substrate 215 enters the inside of the chamber 211 through the discharge portion 217 formed on the sidewall of the chamber 211, and moves to the inside of the chamber 211 through the discharge portion 217. Is located on top of the substrate support 214.
  • the substrate support 214 has a larger diameter than the substrate 215, and the substrate 215 is located at the center of the substrate support 214. At this time, the substrate 215 is supported by the lift pin 220 penetrating the substrate support 214, and maintains the state spaced up from the substrate support 214.
  • the shower head 213 is installed on the substrate support 214, the source gas or the reaction gas is injected into the chamber 211 through the shower head (213).
  • the chamber 211 further includes a vacuum guide 212 and an edge ring 230.
  • the vacuum guide 212 is installed inside the chamber 211 in a cylindrical shape.
  • the edge ring 230 has a ring shape corresponding to the internal shape of the chamber 211, and the edge ring 230 has a support part 232, a horizontal support part 234, and a vertical support part 236.
  • a pressing portion 238 having a pressing surface 238a.
  • the edge ring 230 is positioned between the substrate support 214 and the showerhead 213 and is placed on the fixing protrusion 212a protruding from the inner wall of the vacuum guide 212. As shown in FIG.
  • the edge ring 230 is located on the fixing protrusion 212a, and as described below, the substrate support 214 is moved to the process position.
  • the edge ring 230 is separated from the fixing protrusion 212a and placed on the substrate support 214.
  • FIG. 11 and 12 are views showing the operation of the edge ring shown in FIG. As described above, the substrate support 214 is moved up and down together with the support 216 by a driving unit (not shown), thereby switching to the release position and the process position.
  • the horizontal support 234 extends from the support 232 toward the sidewall of the chamber 211, and the vertical support 236 extends downward from the support 232.
  • the pressing portion 238 extends downward from the support portion 232 toward the inside of the chamber 211.
  • the edge ring 230 may be positioned on the fixing protrusion 212a by the horizontal support 234 and the vertical support 236.
  • the horizontal support part 234 is in contact with the upper surface of the fixing protrusion 212a
  • the vertical support part 236 is in contact with the side of the fixing protrusion 212a.
  • the support part 232 and the pressurizing part 238 maintain the state protruding toward the inside of the chamber 211.
  • the substrate support 214 lifts the edge ring 230 by using a ring-shaped edge portion located outside the substrate 215. As a result, the edge ring 230 is lifted away from the fixing protrusion 212a.
  • the support portion 232 is adjacent to the edge portion of the substrate support 214, the pressing portion 238 in contact with the edge portion of the substrate 215 placed on the substrate support 214 The edge portion of the substrate 215 is pressed. That is, the edge ring 230 presses the edge portion of the substrate 215 by its own weight while being placed on the substrate support 214, and the pressing portion 238 is in contact with the edge portion of the substrate 215.
  • the edge portion of the substrate is spaced apart from the substrate support, and the substrate is deformed into a 'U' shape in which the center portion of the substrate is concave.
  • This affects the temperature distribution in the substrate (between the center and the edge of the substrate) and the like, and thus greatly affects the process uniformity (eg, deposition rate).
  • the edge portion of the substrate 215 may be pressed using the pressing portion 238 of the edge ring 230 to prevent the edge portion of the substrate from being deformed from the substrate support.
  • the width w of the edge portion of the substrate 215 pressed by the pressing portion 238 of the edge ring 230 is about 0.5 mm to 3 mm from the edge of the substrate 215. It may be inside, and since this part is not used as a semiconductor device in an actual semiconductor process, it does not affect the yield of the semiconductor device.
  • the pressing surface 238a described above may have a width w corresponding to the edge portion.
  • the edge ring 230 may be supported on the substrate support 214 only by the pressing portion 238, and the support 232 may be spaced apart from the edge portion of the substrate support 214. State d can be maintained. In this case, since the total weight of the edge ring 230 is transmitted to the edge portion of the substrate 215 through the pressing surface 238a of the pressing portion 238, the pressure of the edge ring 230 is minimized and high pressure is applied. It may be delivered to the edge portion of the substrate 215. This principle can be understood from the fact that the magnitude of the pressure is inversely proportional to the magnitude of the contact area.
  • the present invention can be applied to a method and apparatus for manufacturing various types of memory devices.

Abstract

본 발명의 일 실시예에 의하면, 수직 구조의 메모리 소자를 제조하는 방법은 기판 상에 하나 이상의 절연층 및 하나 이상의 희생층을 교대로 적층하는 단계; 상기 절연층 및 상기 희생층을 관통하는 관통홀을 형성하는 단계; 상기 관통홀을 채우는 패턴을 형성하는 단계; 상기 절연층 및 상기 희생층을 관통하는 개구를 형성하는 단계; 그리고 상기 개구를 통해 에천트를 공급하여 상기 희생층을 제거하는 단계를 포함하되, 상기 절연층을 적층하는 단계는 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10을 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하여 제1 실리콘 산화막을 증착하는 단계를 포함하며, 상기 희생층을 적층하는 단계는 상기 기판에 디클로로실란(SiCl2H2)을 공급하여 제2 실리콘 산화막을 증착하는 단계를 포함한다.

Description

3차원 구조의 메모리 소자를 제조하는 방법 및 장치
본 발명은 메모리 소자를 제조하는 방법 및 장치에 관한 것으로, 더욱 상세하게는 3차원 구조의 메모리 소자를 제조하는 방법 및 장치에 관한 것이다.
전자 제품은 그 부피가 점점 작아지면서도 고용량의 데이터 처리를 요하고 있다. 이에 따라, 이러한 전자 제품에 사용되는 메모리 소자의 부피를 줄이면서 그 집적도를 높일 필요가 있으며, 이러한 점에서, 종래의 평면형 구조 대신에 3차원 구조를 갖는 메모리 소자가 고려되고 있다.
본 발명의 목적은 메모리 소자의 부피를 줄일 수 있는 메모리 소자를 제조하는 방법 및 장치를 제공하는 데 있다.
본 발명의 다른 목적은 3차원 구조의 메모리 소자를 효율적으로 제조할 수 있는 방법 및 장치를 제공하는 데 있다.
본 발명의 또 다른 목적은 복수의 박막을 증착하는 공정에서 박막의 응력 차이로 인해 발생하는 기판의 변형을 방지할 수 있는 메모리 소자를 제조하는 방법 및 장치를 제공하는 데 있다.
본 발명의 또 다른 목적들은 다음의 상세한 설명과 첨부한 도면으로부터 보다 명확해질 것이다.
본 발명의 일 실시예에 의하면, 3차원 구조의 메모리 소자를 제조하는 방법은 기판 상에 하나 이상의 절연층 및 하나 이상의 희생층을 교대로 적층하는 단계; 상기 절연층 및 상기 희생층을 관통하는 관통홀을 형성하는 단계; 상기 관통홀을 채우는 패턴을 형성하는 단계; 상기 절연층 및 상기 희생층을 관통하는 개구를 형성하는 단계; 그리고 상기 개구를 통해 에천트를 공급하여 상기 희생층을 제거하는 단계를 포함하되, 상기 절연층을 적층하는 단계는 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10을 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하여 제1 실리콘 산화막을 증착하는 단계를 포함하며, 상기 희생층을 적층하는 단계는 상기 기판에 디클로로실란(SiCl2H2)을 공급하여 제2 실리콘 산화막을 증착하는 단계를 포함한다.
상기 절연층 및 상기 희생층은 상기 에천트에 대해 식각 선택비(etch selectivity)를 가지며, 상기 희생층의 식각률은 상기 절연층의 식각률에 비해 5배 내지 300배 이상일 수 있다.
상기 에천트는 HF 또는 BOE 중 어느 하나를 포함할 수 있다.
상기 절연층을 적층하는 단계는 에틸계열의 가스를 공급하는 단계를 더 포함하며, 상기 제1 실리콘 산화막은 SiCO(Silicon Carbon Oxide)일 수 있다.
상기 절연층을 적층하는 단계는 메틸계열의 가스를 공급하는 단계를 더 포함하며, 상기 제1 실리콘 산화막은 SiCO(Silicon Carbon Oxide)일 수 있다.
상기 기판의 온도는 300 내지 790도를 유지하며, 상기 기판의 공정압력은 10 mTorr 내지 250 Torr를 유지할 수 있다.
상기 제1 실리콘 산화막과 상기 제2 실리콘 산화막은 서로 다른 두께를 가질 수 있다.
상기 절연층 및 희생층을 교대로 적층하는 단계는 에지링을 이용하여 상기 기판의 에지부를 가압하는 단계를 더 포함할 수 있다.
상기 기판의 에지부는 상기 기판의 에지로부터 0.5 ㎜ 내지 3 ㎜ 내측에 해당할 수 있다.
상기 에지링은 세라믹 재질일 수 있다.
본 발명의 다른 실시예에 의하면, 3차원 구조의 메모리 소자를 제조하는 방법은 기판 상에 하나 이상의 절연층 및 하나 이상의 희생층을 교대로 적층하는 단계; 상기 절연층 및 상기 희생층을 관통하는 관통홀을 형성하는 단계; 상기 관통홀을 채우는 패턴을 형성하는 단계; 상기 절연층 및 상기 희생층을 관통하는 개구를 형성하는 단계; 그리고 상기 개구를 통해 에천트를 공급하여 상기 희생층을 제거하는 단계를 포함하되, 상기 절연층을 적층하는 단계는 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10을 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하여 제1 실리콘 산화막을 증착하는 단계를 포함하며, 상기 희생층을 적층하는 단계는 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10, 디클로로실란(SiCl2H2)을 포함하는 군으로부터 선택된 하나 이상의 가스와 B2H6, PH3를 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하여 보론(boron) 또는 인(phosphorus)이 주입된 제2 실리콘 산화막을 증착하는 단계를 포함한다.
본 발명의 일 실시예에 의하면, 기판 상에 하나 이상의 절연층 및 하나 이상의 희생층을 교대로 적층하여 3차원 구조의 메모리 소자를 제조하는 장치는 기판에 대한 공정이 이루어지는 챔버; 상기 챔버 내에 설치되어 상기 기판이 놓여지는 기판지지대; 그리고 상기 기판 상에 상기 절연층을 적층할 때 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10을 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하며, 상기 기판 상에 상기 희생층을 적층할 때 상기 기판에 디클로로실란(SiCl2H2)을 공급하는 샤워헤드를 포함한다.
본 발명의 다른 실시예에 의하면, 기판 상에 하나 이상의 절연층 및 하나 이상의 희생층을 교대로 적층하여 3차원 구조의 메모리 소자를 제조하는 장치는 기판에 대한 공정이 이루어지는 챔버; 상기 챔버 내에 설치되어 상기 기판이 놓여지는 기판지지대; 그리고 상기 기판 상에 상기 절연층을 적층할 때 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10을 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하며, 상기 기판 상에 상기 희생층을 적층할 때 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10, 디클로로실란(SiCl2H2)을 포함하는 군으로부터 선택된 하나 이상의 가스와 B2H6, PH3를 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하는 샤워헤드를 포함한다.
본 발명의 실시예들에 의하면 메모리 소자를 3차원 구조로 형성함으로써 메모리 소자의 부피를 줄일 수 있다. 또한, 기판 상에 형성된 절연층과 희생층을 교대로 적층형성한 후, 반도체 트랜지스터의 채널로 이용되는 폴리실리콘 박막과 같은 패턴에 의해 절연층을 지지한 상태에서 희생층을 효과적으로 제거할 수 있다. 또한, 복수의 박막을 증착하는 공정에서 박막의 응력 차이로 인해 발생하는 기판의 변형을 방지할 수 있다.
도 1 내지 도 6은 본 발명의 일 실시예에 따른 메모리 소자의 제조방법을 보여주는 개략적인 단면도이다.
도 7은 에틸 계열의 가스의 공급량과 증착된 박막의 식각률의 관계를 나타내는 그래프이다.
도 8은 본 발명의 일 실시예에 따른 반도체 제조장치를 개략적으로 나타내는 도면이다.
도 9는 본 발명의 다른 실시예에 따른 메모리 소자 제조장치를 개략적으로 나타내는 도면이다.
도 10은 도 9에 도시한 에지링을 개략적으로 나타내는 사시도이다.
도 11 및 도 12는 도 9에 도시한 에지링의 동작을 나타내는 도면이다.
도 1 내지 도 6은 본 발명의 일 실시예에 따른 메모리 소자의 제조방법을 보여주는 개략적인 단면도이다. 이하 도 1 내지 도 6을 참고하여 메모리 소자의 제조방법을 설명하기로 한다.
먼저, 도 1에 도시한 바와 같이, 기판(105)이 제공될 수 있다. 기판(105)은 반도체 물질, 예컨대 Ⅳ족 반도체, Ⅲ-Ⅴ족 화합물 반도체, 또는 Ⅱ-Ⅵ족 산화물 반도체를 포함할 수 있다. 예를 들어, Ⅳ족 반도체는 실리콘, 게르마늄 또는 실리콘-게르마늄을 포함할 수 있다. 기판(105)은 벌크 웨이퍼 또는 에피택셜층으로 제공될 수 있다.
다음으로, 기판(105)의 상부에 불순물들을 주입하여 불순물 영역(110)을 한정할 수 있다. 이어서 기판(105) 상에 절연층들(115) 및 희생층들(120)을 교대로 적층할 수 있다. 절연층들(115)과 희생층들(120)은 8×8이나 18×18, 또는 n×n의 다중층을 이룰 수 있다. 본 실시예에서는 절연층(115)이 먼저 적층되고, 희생층(120)이 나중에 적층되는 것으로 설명하고 있으나, 필요에 따라 절연층(115)과 희생층(120)의 적층 순서는 바뀔 수 있다.
절연층(115)은 실리콘산화막(Silicon Dioxide, SiO2)일 수 있으며, 기판(105) 상에 공급된 실란(SiH4)과 산화질소(N2O)를 반응시켜 형성될 수 있다. 실란(SiH4)은 Si2H6, Si3H8, Si4H10 등으로 대체될 수 있다. 마찬가지로, 희생층(120)은 실리콘산화막일 수 있으며, 기판(105) 상에 공급된 디클로로실란(SiCl2H2)(DCS)과 산화질소(N2O)를 반응시켜 형성될 수 있다. 또한, 본 실시예와 달리, 희생층(120)은 기판(105) 상에 SiH4, Si2H6, Si3H8, Si4H10, 디클로로실란(SiCl2H2)을 포함하는 군으로부터 선택된 하나 이상의 가스와 B2H6, PH3를 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하여 형성된 실리콘산화막일 수 있으며, 이 경우, 실리콘 산화막 상에 보론(boron) 또는 인(phosphorus)(보론과 인이 동시에 주입가능)이 주입될 수 있다.
다음, 도 2에 도시한 바와 같이, 절연층들(115) 및 희생층들(120)을 식각하여 복수의 관통홀들(125)을 형성할 수 있으며, 관통홀들(125)은 절연층들(115) 및 희생층들(120)을 관통한다. 관통홀들(125)은 공지된 포토리소그래피 및 식각 기술을 이용하여 형성할 수 있다. 이어서, 이미 공지된 반도체 트랜지스터를 형성하기 위한 채널 형성 공정(또는 폴리실리콘 박막을 형성하는 공정)을 통해 관통홀들(125)을 채우도록 패턴들(130)을 형성할 수 있다. 이때, 패턴들(130)은 속이 비어 있는 원통 형상일 수 있으며, 마찬가지로, 패턴들(130)은 절연층들(115) 및 희생층들(120)을 관통한다. 예를 들어, 패턴들(130)은 다결정 구조로 형성하거나 또는 단결정 구조의 에피택셜층과 같은 박막 형상일 수도 있다.
다음, 도 3에 도시한 바와 같이, 패턴들(130) 사이의 절연층들(115) 및 희생층들(120)을 식각하여 개구들(135)을 형성할 수 있다. 개구들(135)은 포토리소그래피 및 식각 기술을 이용하여 형성할 수 있다.
다음, 도 4에 도시한 바와 같이, 희생층들(120)을 제거할 수 있다. 앞서 설명한 바와 같이, 절연층(115)은 실란에 의해 형성된 실리콘산화막이며, 희생층(120)은 디클로로실란에 의해 형성된 실리콘산화막이거나 SiH4, Si2H6, Si3H8, Si4H10, 디클로로실란(SiCl2H2)을 포함하는 군으로부터 선택된 하나 이상의 가스와 B2H6, PH3를 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하여 형성되어 보론(boron) 또는 인(phosphorus)(보론과 인이 동시에 주입가능)이 주입된 실리콘산화막일 수 있다. 아래는 실란에 의해 형성된 실리콘산화막과 디클로로실란에 의해 형성된 실리콘산화막이 가지는 특성을 나타낸다.
표 1
Film (As Dep)E/R(HF)100:1(A/sec)
Silane-SiO2 8.8
DCS-SiO2 223
위 표에 나타낸 바와 같이, 희생층(120)은 절연층(115)에 대해서 식각 선택비(etch selectivity)를 가지며, 희생층(120)의 식각률은 절연층(115)의 식각률에 비해 20배 가량의 크기를 가진다. 따라서, 절연층(115)과 희생층(120)이 동일한 시간 동안 에천트에 노출되었을 때, 식각된 희생층(120)의 크기는 식각된 절연층(115)의 크기에 20배 이상이며, 절연층(115)의 식각된 정도는 매우 작다. 디클로로실란에 의해 형성된 실리콘산화막과 같이 Cl기를 가지는 실리콘산화막은 증착 박막의 밀도가 상대적으로 낮아 높은 식각률을 나타낸다.
위와 같은 원리를 이용하여 희생층(120)을 제거할 수 있다. 등방성 식각을 이용하여 에천트를 개구들(135)로부터 절연층들(115) 사이로 침투시킬 수 있으며, 등방성 식각은 습식 식각 또는 화학적 건식 식각(chemical dry etch)을 포함할 수 있다. 에천트는 HF 또는 BOE(buffered oxide etch) 중 어느 하나를 포함할 수 있다. 이에 따라, 절연층들(115) 사이의 희생층들(120)이 제거되어 개구들(135)과 연결된 터널들(140)이 형성될 수 있다. 터널들(140)에 의해서 패턴들(130)의 측벽들이 노출될 수 있다.
다음, 도 5에 도시한 바와 같이, 개구들(도 8의 135) 및 터널들(도 8의 140)에 의해서 노출된 절연층들(115) 및 패턴들(130)의 측벽들 상에 스토리지 매체들(150)을 형성할 수 있다. 스토리지 매체들(150)은 터널링 절연층(142), 전하 저장층(144) 및 블로킹 절연층(146)을 순차로 형성할 수 있다. 이어서 스토리지 매체들(150) 상에 도전층(155)을 형성할 수 있다. 예를 들어, 스토리지 매체들(150) 및 도전층(155)은 모서리 도포성이 높은 화학기상증착 또는 도금법을 이용하여 형성할 수 있다.
다음, 도 6에 도시한 바와 같이, 개구들(도 4의 135)에 의해서 노출된 도전층(도 5의 155)을 선택적으로 식각하여 접지 선택 게이트 전극들(162), 제어 게이트 전극들(164) 및 스트링 선택 게이트 전극들(166)을 형성할 수 있다.
한편, 본 실시예와 달리, 에틸계열의 가스(예를 들어, C2H4) 또는 메틸계열의 가스(예를 들어, CH3)가 실란(SiH4)과 함께 공급될 수 있으며, 이로 인해 절연층(115)은 SiCO(Silicon Carbon Oxide) 박막일 수 있다. SiCO 박막으로 이루어진 절연층(115)은 앞서 설명한 디클로로실란에 의해 형성된 희생층(120)에 비해 더욱 큰 식각 선택비를 가지므로, 희생층(120)의 제거시 함께 손상되는 절연층(115)의 양을 최소화할 수 있다. 도 7은 에틸 계열의 가스의 공급량과 증착된 박막의 식각률의 관계를 나타내는 그래프이다. 도 7에 도시한 바와 같이, 에틸 계열의 가스가 공급됨에 따라, 증착된 박막의 식각률은 감소하는 것을 알 수 있으며, 이를 통해 희생층(120)과의 식각 선택비를 요구에 따라 조절할 수 있다.
도 8은 본 발명의 일 실시예에 따른 반도체 제조장치를 개략적으로 나타내는 도면이다. 도 8에 도시한 바와 같이, 반도체 제조장치(10)는 소스가스 또는 반응가스가 도입되기 위한 도입부(12)를 가지며, 소스가스 또는 반응가스는 도입부(12)를 통해 도입되며, 샤워헤드(13)를 통해 챔버(11)의 내부에 분사된다. 공정진행시 실란 또는 디클로로실란은 1-1000 sccm 공급될 수 있으며, 반응가스(예를 들어, N2O)는 100-50000 sccm 공급될 수 있다. 한편, 앞서 설명한 바와 같이, 에틸계열의 가스(예를 들어, C2H4) 또는 메틸계열의 가스(예를 들어, CH3)가 공급될 경우, 50 내지 10000 sccm 공급될 수 있다.
공정의 대상이 되는 기판(15)은 히터(14)의 상부에 놓여지며, 히터(14)는 히터지지대(16)에 의해 지지된다. 히터(14)는 공정진행 중 기판의 온도를 300 내지 790도로 유지할 수 있으며, 이때 챔버(11) 내부의 압력은 10 mTorr 내지 250 Torr를 유지할 수 있다. 공정이 완료된 기판(15)은 배출부(17)를 통해 외부로 배출된다.
도 9는 본 발명의 다른 실시예에 따른 메모리 소자 제조장치를 개략적으로 나타내는 도면이며, 도 10은 도 9에 도시한 에지링을 개략적으로 나타내는 사시도이다. 이하에서는 도 8과 구별되는 부분에 대해서만 설명하기로 하며, 생략된 설명은 도 8에 대한 설명으로 대체될 수 있다.
도 9에 도시한 바와 같이, 메모리 소자 제조장치(210)는 챔버(211)의 내부에 설치된 기판지지대(214)를 구비하며, 기판지지대(214)는 지지대(216)에 의해 지지된다. 후술하는 바와 같이, 기판지지대(214)는 별도의 구동부(도시안함)에 의해 지지대(216)와 함께 승강하며, 이로 인해, 기판(215)이 챔버(211)의 내부를 출입할 수 있는 해제위치(도 9에 도시)와 기판(215)에 대한 공정이 이루어지는 공정위치(도 11에 도시)로 전환된다.
기판(215)은 챔버(211)의 측벽에 형성된 배출부(217)를 통해 챔버(211)의 내부를 출입하며, 배출부(217)를 통해 챔버(211)의 내부로 이동한 기판(215)은 기판지지대(214)의 상부에 위치한다. 기판지지대(214)는 기판(215)에 비해 큰 직경을 가지며, 기판(215)은 기판지지대(214)의 중앙에 위치한다. 이때, 기판(215)은 기판지지대(214)를 관통하는 리프트핀(220)에 의해 지지되며, 기판지지대(214)로부터 상승이격된 상태를 유지한다. 또한, 샤워헤드(213)는 기판지지대(214)의 상부에 설치되며, 소스가스 또는 반응가스는 샤워헤드(213)를 통해 챔버(211)의 내부에 분사된다.
한편, 챔버(211)는 베큠 가이드(vacuum guide)(212) 및 에지링(230)을 더 포함한다. 베큠 가이드(212)는 원통 형상으로 챔버(211)의 내부에 설치된다. 도 10에 도시한 바와 같이, 에지링(230)은 챔버(211)의 내부형상과 대응되는 링 형상이며, 에지링(230)은 지지부(232), 수평지지부(234), 수직지지부(236), 그리고 가압면(238a)을 가지는 가압부(238)를 구비한다. 에지링(230)은 기판지지대(214)와 샤워헤드(213) 사이에 위치하여 베큠 가이드(212)의 내측벽으로부터 돌출된 고정돌기(212a) 상에 놓여진다. 도 9에 도시한 바와 같이, 기판지지대(214)가 해제위치에 위치할 때 에지링(230)은 고정돌기(212a) 상에 위치하며, 후술하는 바와 같이, 기판지지대(214)가 공정위치로 전환될 때 에지링(230)은 고정돌기(212a)로부터 이탈하여 기판지지대(214)의 상부에 놓여진다.
도 11 및 도 12는 도 9에 도시한 에지링의 동작을 나타내는 도면이다. 앞서 설명한 바와 같이, 기판지지대(214)는 구동부(도시안함)에 의해 지지대(216)와 함께 승강하며, 이로 인해, 해제위치 및 공정위치로 전환될 수 있다.
도 12에 도시한 바와 같이, 수평지지부(234)는 지지부(232)로부터 챔버(211)의 측벽을 향해 연장되며, 수직지지부(236)는 지지부(232)로부터 하부를 향해 연장된다. 가압부(238)는 지지부(232)로부터 챔버(211)의 내측을 향해 하향경사지도록 연장된다.
도 9에 도시한 바와 같이, 기판지지대(214)가 해제위치에 있을 때, 에지링(230)은 수평지지부(234) 및 수직지지부(236)에 의해 고정돌기(212a) 상에 위치할 수 있으며, 수평지지부(234)는 고정돌기(212a)의 상면과 접하고 수직지지부(236)는 고정돌기(212a)의 측면과 접한다. 이때, 지지부(232) 및 가압부(238)는 챔버(211)의 내측을 향해 돌출된 상태를 유지한다.
도 11에 도시한 바와 같이, 기판지지대(214)가 공정위치로 전환될 때, 기판지지대(214)는 기판(215)의 외측에 위치하는 링 형상의 에지부를 이용하여 에지링(230)을 들어 올리게 되며, 이로 인해, 에지링(230)은 고정돌기(212a)로부터 이탈되어 상승한다. 이때, 도 12에 도시한 바와 같이, 지지부(232)는 기판지지대(214)의 에지부와 인접하며, 가압부(238)는 기판지지대(214)에 놓여진 기판(215)의 에지부와 접촉하여 기판(215)의 에지부를 가압한다. 즉, 에지링(230)은 기판지지대(214)에 놓여진 상태에서 자중에 의해 기판(215)의 에지부를 가압하며, 가압부(238)는 기판(215)의 에지부와 접촉하는 가압면(238a)을 가진다.
앞서 도 1을 통해 설명한 바와 같이, 기판 상에 서로 다른 실리콘 산화막을 교대로 적층할 경우, 공정으로 인해 두 개의 실리콘 산화막 사이에 응력의 차이가 발생하며, 이로 인해, 기판의 변형(warpage, 휨 또는 뒤틀림)이 발생한다. 이와 같은 기판의 변형으로 인해 기판의 에지부는 기판지지대로부터 이격되며, 기판은 기판의 센터부가 오목한 'U'자 형상으로 변형된다. 이는 기판 내의 온도분포(기판의 센터와 에지 사이에) 등에 영향을 미치므로, 공정균일도(예를 들어, 증착률)에 큰 영향을 미친다. 실제, 위와 같은 공정을 마친 이후, 기판의 에지부에서 측정된 증착률이 기판의 센터부에서 측정된 증착률에 비해 현저히 낮음을 알 수 있었다. 따라서, 기판의 에지부가 기판지지대로부터 이격되어 기판이 변형되는 현상을 방지하기 위하여 에지링(230)의 가압부(238)를 이용하여 기판(215)의 에지부를 가압할 수 있다.
한편, 도 12에 도시한 바와 같이, 에지링(230)의 가압부(238)에 의해 가압되는 기판(215)의 에지부의 폭(w)은 기판(215)의 에지로부터 0.5 ㎜ 내지 3 ㎜ 가량내측일 수 있으며, 이 부분은 실제 반도체 공정에서 반도체 소자로 사용하지 않는 부분이므로, 반도체 소자의 수율에 영향을 미치지 않는다. 또한, 앞서 설명한 가압면(238a)은 에지부와 대응되는 폭(w)을 가질 수 있다.
도 12에 도시한 바와 같이, 에지링(230)은 가압부(238)만으로 기판지지대(214) 상에 지지된 상태를 유지할 수 있으며, 지지부(232)는 기판지지대(214)의 에지부로부터 이격된 상태(d)를 유지할 수 있다. 이 경우, 에지링(230)의 전체 무게가 가압부(238)의 가압면(238a)을 통해 기판(215)의 에지부에 전달되므로, 에지링(230)의 무게를 최소화하고도 높은 압력을 기판(215)의 에지부에 전달할 수 있다. 이와 같은 원리는 압력의 크기가 접촉면적의 크기에 반비례한다는 사실로부터 이해될 수 있다.
본 발명은 다양한 형태의 메모리 소자를 제조하는 방법 및 장치에 응용될 수 있다.

Claims (13)

  1. 3차원 구조의 메모리 소자를 제조하는 방법에 있어서,
    기판 상에 하나 이상의 절연층 및 하나 이상의 희생층을 교대로 적층하는 단계;
    상기 절연층 및 상기 희생층을 관통하는 관통홀을 형성하는 단계;
    상기 관통홀을 채우는 패턴을 형성하는 단계;
    상기 절연층 및 상기 희생층을 관통하는 개구를 형성하는 단계; 및
    상기 개구를 통해 에천트를 공급하여 상기 희생층을 제거하는 단계를 포함하되,
    상기 절연층을 적층하는 단계는 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10을 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하여 제1 실리콘 산화막을 증착하는 단계를 포함하며,
    상기 희생층을 적층하는 단계는 상기 기판에 디클로로실란(SiCl2H2)을 공급하여 제2 실리콘 산화막을 증착하는 단계를 포함하는 것을 특징으로 하는 3차원 구조의 메모리 소자를 제조하는 방법.
  2. 제1항에 있어서,
    상기 절연층 및 상기 희생층은 상기 에천트에 대해 식각 선택비(etch selectivity)를 가지며,
    상기 희생층의 식각률은 상기 절연층의 식각률에 비해 5배 내지 300배 이상인 것을 특징으로 하는 3차원 구조의메모리 소자를 제조하는 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 에천트는 HF 또는 BOE 중 어느 하나를 포함하는 것을 특징으로 하는 3차원 구조의 메모리 소자를 제조하는 방법.
  4. 제1항 또는 제2항에 있어서,
    상기 절연층을 적층하는 단계는 에틸계열의 가스를 공급하는 단계를 더 포함하며,
    상기 제1 실리콘 산화막은 SiCO(Silicon Carbon Oxide)인 것을 특징으로 하는 3차원 구조의 메모리 소자를 제조하는 방법.
  5. 제1항 또는 제2항에 있어서,
    상기 절연층을 적층하는 단계는 메틸계열의 가스를 공급하는 단계를 더 포함하며,
    상기 제1 실리콘 산화막은 SiCO(Silicon Carbon Oxide)인 것을 특징으로 하는 3차원 구조의 메모리 소자를 제조하는 방법.
  6. 제1항 또는 제2항에 있어서,
    상기 기판의 온도는 300 내지 790도를 유지하며,
    상기 기판의 공정압력은 10 mTorr 내지 250 Torr를 유지하는 것을 특징으로 하는 3차원 구조의 메모리 소자를 제조하는 방법.
  7. 제1항 또는 제2항에 있어서,
    상기 제1 실리콘 산화막과 상기 제2 실리콘 산화막은 서로 다른 두께를 가지는 것을 특징으로 하는 3차원 구조의 메모리 소자를 제조하는 방법.
  8. 제1항에 있어서,
    상기 절연층 및 희생층을 교대로 적층하는 단계는 에지링을 이용하여 상기 기판의 에지부를 가압하는 단계를 더 포함하는 것을 특징으로 하는 3차원 구조의 메모리 소자를 제조하는 방법.
  9. 제8항에 있어서,
    상기 기판의 에지부는 상기 기판의 에지로부터 0.5 ㎜ 내지 3 ㎜ 내측에 해당하는 것을 특징으로 하는 3차원 구조의 메모리 소자를 제조하는 방법.
  10. 제8항 또는 제9항에 있어서,
    상기 에지링은 세라믹 재질인 것을 특징으로 하는 3차원 구조의 메모리 소자를 제조하는 방법.
  11. 3차원 구조의 메모리 소자를 제조하는 방법에 있어서,
    기판 상에 하나 이상의 절연층 및 하나 이상의 희생층을 교대로 적층하는 단계;
    상기 절연층 및 상기 희생층을 관통하는 관통홀을 형성하는 단계;
    상기 관통홀을 채우는 패턴을 형성하는 단계;
    상기 절연층 및 상기 희생층을 관통하는 개구를 형성하는 단계; 및
    상기 개구를 통해 에천트를 공급하여 상기 희생층을 제거하는 단계를 포함하되,
    상기 절연층을 적층하는 단계는 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10을 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하여 제1 실리콘 산화막을 증착하는 단계를 포함하며,
    상기 희생층을 적층하는 단계는 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10, 디클로로실란(SiCl2H2)을 포함하는 군으로부터 선택된 하나 이상의 가스와 B2H6, PH3를 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하여 보론(boron) 또는 인(phosphorus)이 주입된 제2 실리콘 산화막을 증착하는 단계를 포함하는 것을 특징으로 하는 3차원 구조의 메모리 소자를 제조하는 방법.
  12. 기판 상에 하나 이상의 절연층 및 하나 이상의 희생층을 교대로 적층하여 3차원 구조의 메모리 소자를 제조하는 장치에 있어서,
    기판에 대한 공정이 이루어지는 챔버;
    상기 챔버 내에 설치되어 상기 기판이 놓여지는 기판지지대; 및
    상기 기판 상에 상기 절연층을 적층할 때 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10을 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하며, 상기 기판 상에 상기 희생층을 적층할 때 상기 기판에 디클로로실란(SiCl2H2)을 공급하는 샤워헤드를 포함하는 것을 특징으로 하는 3차원 구조의 메모리 소자 제조장치.
  13. 기판 상에 하나 이상의 절연층 및 하나 이상의 희생층을 교대로 적층하여 3차원 구조의 메모리 소자를 제조하는 장치에 있어서,
    기판에 대한 공정이 이루어지는 챔버;
    상기 챔버 내에 설치되어 상기 기판이 놓여지는 기판지지대; 및
    상기 기판 상에 상기 절연층을 적층할 때 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10을 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하며, 상기 기판 상에 상기 희생층을 적층할 때 상기 기판에 SiH4, Si2H6, Si3H8, Si4H10, 디클로로실란(SiCl2H2)을 포함하는 군으로부터 선택된 하나 이상의 가스와 B2H6, PH3를 포함하는 군으로부터 선택된 하나 이상의 가스를 공급하는 샤워헤드를 포함하는 것을 특징으로 하는 3차원 구조의 메모리 소자 제조장치.
PCT/KR2011/007402 2010-10-14 2011-10-06 3차원 구조의 메모리 소자를 제조하는 방법 및 장치 WO2012050321A2 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201180048997.2A CN103155139B (zh) 2010-10-14 2011-10-06 制造三维结构存储元件的方法及装置
JP2013531511A JP5705990B2 (ja) 2010-10-14 2011-10-06 3次元構造のメモリ素子を製造する方法
US13/822,416 US9425057B2 (en) 2010-10-14 2011-10-06 Method and apparatus for manufacturing three-dimensional-structure memory device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2010-0100092 2010-10-14
KR1020100100092A KR101209003B1 (ko) 2010-10-14 2010-10-14 3차원 구조의 메모리 소자를 제조하는 방법 및 장치

Publications (2)

Publication Number Publication Date
WO2012050321A2 true WO2012050321A2 (ko) 2012-04-19
WO2012050321A3 WO2012050321A3 (ko) 2012-07-12

Family

ID=45938774

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2011/007402 WO2012050321A2 (ko) 2010-10-14 2011-10-06 3차원 구조의 메모리 소자를 제조하는 방법 및 장치

Country Status (5)

Country Link
US (1) US9425057B2 (ko)
JP (1) JP5705990B2 (ko)
KR (1) KR101209003B1 (ko)
CN (1) CN103155139B (ko)
WO (1) WO2012050321A2 (ko)

Cited By (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015528647A (ja) * 2012-09-17 2015-09-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 差異的な酸化ケイ素エッチング
JP2015531546A (ja) * 2012-09-24 2015-11-02 ユ−ジーン テクノロジー カンパニー.リミテッド ヒューム除去装置及び基板処理装置
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101603971B1 (ko) * 2014-07-30 2016-03-17 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
CN105448924B (zh) * 2014-08-28 2018-08-10 旺宏电子股份有限公司 具低介电常数绝缘材料的三维存储器装置及其制造方法
JP6347548B2 (ja) 2014-09-08 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
DE102015208852A1 (de) * 2015-05-13 2016-11-17 Nanoscribe Gmbh Verfahren zum Herstellen einer dreidimensionalen Struktur
JP6479698B2 (ja) * 2016-02-18 2019-03-06 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
JP6419762B2 (ja) * 2016-09-06 2018-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN107799531B (zh) * 2017-11-16 2018-12-14 长江存储科技有限责任公司 一种3d nand存储器等级层堆栈制造方法
CN112599416B (zh) * 2020-12-15 2021-10-15 长江存储科技有限责任公司 一种3d nand存储器件的制造方法
CN115884600A (zh) * 2021-09-28 2023-03-31 长鑫存储技术有限公司 半导体结构及其制备方法
WO2023153203A1 (ja) * 2022-02-08 2023-08-17 東京エレクトロン株式会社 基板処理方法および基板処理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040103648A (ko) * 2003-05-30 2004-12-09 삼성전자주식회사 반도체 기판지지 척 및 박막 증착 장치
KR20080105525A (ko) * 2007-05-31 2008-12-04 주성엔지니어링(주) 실리콘을 포함한 박막 형성방법
KR20100059655A (ko) * 2008-11-25 2010-06-04 삼성전자주식회사 3차원 반도체 장치 및 그 동작 방법
KR20100074543A (ko) * 2008-12-24 2010-07-02 주식회사 하이닉스반도체 수직채널형 비휘발성 메모리 소자 및 그 제조 방법

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5653479A (en) * 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US5766994A (en) * 1997-04-11 1998-06-16 Vanguard International Semiconductor Corporation Dynamic random access memory fabrication method having stacked capacitors with increased capacitance
US6015735A (en) * 1998-01-13 2000-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a multi-anchor DRAM capacitor and capacitor formed
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP2003069010A (ja) * 2001-08-24 2003-03-07 Sharp Corp 半導体装置およびその製造方法
WO2003060978A1 (en) * 2002-01-15 2003-07-24 Tokyo Electron Limited Cvd method and device for forming silicon-containing insulation film
KR100546395B1 (ko) * 2003-11-17 2006-01-26 삼성전자주식회사 반도체소자의 커패시터 및 그 제조방법
JP4715207B2 (ja) * 2004-01-13 2011-07-06 東京エレクトロン株式会社 半導体装置の製造方法及び成膜システム
JP4664688B2 (ja) * 2005-01-14 2011-04-06 東芝メモリシステムズ株式会社 工業製品の製造方法
JP2007035775A (ja) * 2005-07-25 2007-02-08 Hitachi Kokusai Electric Inc 基板処理装置
JP2006203252A (ja) * 2006-04-10 2006-08-03 Fujitsu Ltd 半導体装置
JP2008166374A (ja) * 2006-12-27 2008-07-17 Sharp Corp 絶縁膜形成方法
JP4643617B2 (ja) * 2007-06-26 2011-03-02 株式会社東芝 不揮発性半導体記憶装置
JP2009054972A (ja) * 2007-08-29 2009-03-12 Elpida Memory Inc 半導体装置の製造方法
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
KR101226685B1 (ko) * 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
WO2009104620A1 (ja) * 2008-02-19 2009-08-27 東京エレクトロン株式会社 成膜方法および記憶媒体
JP2009229502A (ja) * 2008-03-19 2009-10-08 Sony Corp 表示装置、および、その製造方法
US8148763B2 (en) 2008-11-25 2012-04-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices
US20100155818A1 (en) * 2008-12-24 2010-06-24 Heung-Jae Cho Vertical channel type nonvolatile memory device and method for fabricating the same
KR101573697B1 (ko) * 2009-02-11 2015-12-02 삼성전자주식회사 수직 폴딩 구조의 비휘발성 메모리 소자 및 그 제조 방법
JP2010205904A (ja) * 2009-03-03 2010-09-16 Toshiba Corp 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040103648A (ko) * 2003-05-30 2004-12-09 삼성전자주식회사 반도체 기판지지 척 및 박막 증착 장치
KR20080105525A (ko) * 2007-05-31 2008-12-04 주성엔지니어링(주) 실리콘을 포함한 박막 형성방법
KR20100059655A (ko) * 2008-11-25 2010-06-04 삼성전자주식회사 3차원 반도체 장치 및 그 동작 방법
KR20100074543A (ko) * 2008-12-24 2010-07-02 주식회사 하이닉스반도체 수직채널형 비휘발성 메모리 소자 및 그 제조 방법

Cited By (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
JP2015528647A (ja) * 2012-09-17 2015-09-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 差異的な酸化ケイ素エッチング
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2015531546A (ja) * 2012-09-24 2015-11-02 ユ−ジーン テクノロジー カンパニー.リミテッド ヒューム除去装置及び基板処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
US9425057B2 (en) 2016-08-23
KR20120038577A (ko) 2012-04-24
JP2014500608A (ja) 2014-01-09
KR101209003B1 (ko) 2012-12-06
JP5705990B2 (ja) 2015-04-22
CN103155139B (zh) 2015-08-26
US20130171827A1 (en) 2013-07-04
CN103155139A (zh) 2013-06-12
WO2012050321A3 (ko) 2012-07-12

Similar Documents

Publication Publication Date Title
WO2012050321A2 (ko) 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
WO2012050322A2 (ko) 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
WO2013154297A1 (ko) 히터 승강형 기판 처리 장치
WO2009125951A2 (en) Plasma processing apparatus and method for plasma processing
WO2012018210A2 (ko) 사이클릭 박막 증착 방법
WO2013180451A1 (ko) 기판 처리 장치 및 기판 처리 방법
WO2010024595A2 (ko) 에어갭을 구비한 샐로우 트렌치 소자분리구조, 이를 이용한 시모스 이미지 센서 및 그 제조방법
WO2014030973A1 (ko) 기판 처리 장치 및 기판 처리 방법
WO2013095030A1 (ko) 기판 처리 장치 및 기판 처리 방법
WO2013180453A1 (ko) 기판 처리 장치 및 기판 처리 방법
US10006121B2 (en) Method and apparatus for manufacturing three-dimensional-structure memory device
WO2009120034A2 (en) Substrate processing apparatus and method
WO2014007572A1 (ko) 기판 처리 장치
CN101740462A (zh) 浅沟槽隔离结构的制造方法
KR101281085B1 (ko) 3차원 구조의 메모리 소자를 제조하는 장치
WO2018128344A1 (ko) 투습 방지막과 그 제조 방법
WO2013055023A1 (en) Systems and methods for processing substrates
WO2020138739A2 (ko) 화학 기상 증착용 샤워 헤드 및 이를 구비한 증착 장치
WO2019103168A1 (ko) 적층구조 박막 제조방법, 이에 의해 제조된 적층구조 박막 및 이를 이용한 반도체 소자 제조방법
WO2023191489A1 (ko) 반도체 소자 및 반도체 소자의 제조 방법
WO2023182782A1 (ko) 반도체 소자 및 반도체 소자의 제조 방법
WO2023146248A1 (ko) 박막 제조방법 및 박막
WO2022149777A1 (ko) 기판 처리 방법
WO2012177014A2 (en) Semiconductor device and method for growing semiconductor crystal
WO2021096114A1 (ko) Soi 기판 제조 방법

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201180048997.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11832708

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 13822416

Country of ref document: US

ENP Entry into the national phase

Ref document number: 2013531511

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 11832708

Country of ref document: EP

Kind code of ref document: A2