WO2010059362A1 - Dispositifs électroniques comprenant un film de nanotubes de carbone recouvert d'une couche à base de nitrure de bore, et procédés de fabrication associés - Google Patents

Dispositifs électroniques comprenant un film de nanotubes de carbone recouvert d'une couche à base de nitrure de bore, et procédés de fabrication associés Download PDF

Info

Publication number
WO2010059362A1
WO2010059362A1 PCT/US2009/062507 US2009062507W WO2010059362A1 WO 2010059362 A1 WO2010059362 A1 WO 2010059362A1 US 2009062507 W US2009062507 W US 2009062507W WO 2010059362 A1 WO2010059362 A1 WO 2010059362A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
cnt
liner
forming
cnt layer
Prior art date
Application number
PCT/US2009/062507
Other languages
English (en)
Inventor
Wipul Pemsiri Jayasekara
Original Assignee
Sandisk 3D, Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sandisk 3D, Llc filed Critical Sandisk 3D, Llc
Publication of WO2010059362A1 publication Critical patent/WO2010059362A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/101Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including resistors or capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having a potential-jump barrier or a surface barrier
    • H10K10/20Organic diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/023Formation of the switching material, e.g. layer deposition by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Other compounds of groups 13-15, e.g. elemental or compound semiconductors
    • H10N70/8845Carbon or carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K19/00Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
    • H10K19/201Integrated devices having a three-dimensional layout, e.g. 3D ICs

Definitions

  • This invention relates to microelectronic devices, such as non-volatile memories, and more particularly to a memory cell that includes a non-volatile, carbon-based reversible-resistance switching element compatible with a steering element, and methods of forming the same.
  • Non-volatile memories formed from reversible resistance-switching elements are known.
  • U.S. Patent Application Serial No. 11/968,154 filed December 31, 2007, titled “Memory Cell That Employs A Selectively Fabricated Carbon Nano-Tube Reversible Resistance-Switching Element And Methods Of Forming The Same” ( “the '154 Application”) (Docket No. SD-MXA-241), which is hereby incorporated by reference herein in its entirety for all purposes, describes a rewriteable nonvolatile memory cell that includes a diode coupled in series with a carbon-based reversible resistivity-switching material such as carbon.
  • a method of forming a microelectronic structure includes forming a carbon nano-tube ("CNT") layer, and forming a boron nitride layer above the CNT layer, wherein the boron nitride layer comprises: (1) a first portion disposed above the CNT layer; and/or (2) a second portion disposed in and/or around one or more carbon nano-tubes in the CNT layer.
  • CNT carbon nano-tube
  • a microelectronic structure in a second aspect of the invention, includes a CNT layer, and a boron nitride layer above the CNT layer, wherein the boron nitride layer comprises: (1) a first portion disposed above and in contact with the CNT layer; and/or (2) a second portion disposed in and/or around one or more carbon nano-tubes in the CNT layer.
  • FIG. 1 depicts a cross-sectional, elevational schematic diagram of an exemplary memory cell in accordance with this present invention.
  • FIGS. 2A and 2B depict elevational cross-sections of alternative exemplary memory cells in accordance with this invention.
  • FIGS. 3A and 3B depict elevational cross-sections of still other exemplary memory cells in accordance with this invention.
  • FIG. 4 is a perspective view of an exemplary memory level of a monolithic three dimensional memory array provided in accordance with this invention.
  • CNT materials exhibit resistivity switching behavior that may be used to form microelectronic nonvolatile memories.
  • CNT material refers to material that includes one or more single and/or multi- wall carbon nano-tubes.
  • CNT materials have demonstrated memory switching properties on lab-scale devices with a 10Ox separation between ON and OFF states and mid-to-high range resistance changes. Such a separation between ON and OFF states renders CNT materials viable candidates for memory cells formed using the CNT materials in series with vertical diodes, thin film transistors or other steering elements .
  • a metal-insulator- metal (“MIM”) structure formed from a CNT material sandwiched between two metal or otherwise conducting layers may serve as a resistance change material for a memory cell.
  • a CNT MIM stack may be integrated in series with a steering element, such as a diode or transistor, to create a read-writable memory device as described, for example, in the '154 Application.
  • CNT material due to the topography of CNT material.
  • deposited or grown CNT material typically has a rough surface topography, with pronounced thickness variations and porosity resulting in local peaks and valleys. These thickness variations make CNT materials difficult to etch, increasing fabrication costs and complexity associated with their use in integrated circuits. As such, some detail will be provided about the etching processes, but many other process parameters are covered in less detail to avoid obscuring the focus of the invention.
  • homogeneous CNT materials are known to be porous, so a conventionally-formed CNT-based MIM structure is prone to short-circuiting.
  • PVD physical vapor deposition
  • the high energy levels of PVD-based top electrode metal deposition may cause metal to infiltrate, and possibly penetrate, one or more CNT material pores, possibly causing a short with the bottom electrode.
  • the high energy levels used during PVD of metal may damage the active switching CNT material during the top electrode deposition.
  • Embodiments of the present invention seek to avoid such deleterious effects by limiting the exposure of the active CNT material to such high energy levels associated with PVD of top electrode metals .
  • a CNT-based MIM structure may be formed that is less prone to short-circuiting.
  • a CNT-based MIM stack is formed by forming a bottom electrode layer, forming a layer of CNT material above the bottom electrode layer, forming a liner material (referred to herein as a "liner") above the CNT layer, and forming a top electrode layer above the liner.
  • the CNT material layer may be a porous, mesh-like network of carbon nano-tubes.
  • the CNT material layer includes a single carbon nano-tube.
  • the liner includes: (1) a first portion disposed above and in contact with the CNT layer; and/or (2) a second portion disposed in and/or around one or more carbon nano-tubes in the CNT layer.
  • the liner may penetrate and/or seal one or more pores in the CNT network.
  • the liner may include a carbon material (“carbon liner") .
  • the liner may include a boron nitride material (“BN liner”) .
  • the liner may protect the CNT material against infiltration by the top electrode layer material, and may impede penetration of the top electrode layer material into the sealed pores. In some embodiments, the liner also reduces and/or prevents damage to the CNT material during top electrode layer deposition by shielding the CNT material from exposure to the top electrode layer deposition process.
  • a microelectronic structure such as a memory device, and methods of forming such structures, are provided that have a top electrode deposited on top of active CNT material using a deposition technique, such as chemical vapor deposition ("CVD"), atomic layer deposition (“ALD”), electron beam (“e-beam”) evaporation, or a combination of such techniques, that has lower energy levels than conventional PVD techniques .
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • e-beam electron beam
  • use of such relatively lower energy deposition techniques reduces and/or prevents infiltration of a top electrode material into the CNT material.
  • use of the previously mentioned deposition techniques reduces and/or prevents damage to the CNT material during top electrode deposition in some embodiments.
  • a microelectronic structure such as a memory device, and methods of forming such structures, are provided that include having a CNT MIM stack formed using a lower energy deposition technique to deposit the top electrode, and the MIM may be integrated in series with steering element, such as a diode or transistor, to create a read-writable memory device.
  • a microelectronic structure such as a memory device, and methods of forming such structures, are provided that include having a CNT MIM stack formed using a lower energy deposition technique to deposit the top electrode on a carbon liner or a BN liner, and the MIM may include a dielectric sidewall liner that protects the CNT material against deterioration possible during deposition of dielectric gap fill material.
  • the CNT material may be composed of, but is not limited to, pure carbon nano-tubes deposited by CVD growth techniques, colloidal spray on techniques, and spin on techniques.
  • the active switching carbon layer may be composed of a mixture of carbon nano-tubes with amorphous carbon ("aC") or other dielectric filler material in any ratio deposited in any of the above mentioned techniques .
  • An exemplary embodiment of this integration scheme includes a spin or spray application of the CNT material, followed by deposition of liner, such as a carbon liner or a BN liner.
  • CNT material is a shorthand reference to the carbon-based resistivity switching material forming the active layer, although the carbon material is not limited to pure carbon nano-tubes, as mentioned above.
  • the carbon-based resistivity-switchable material layer may include CNT material as well as carbon in many other forms, e.g., non- CNT carbon-based materials, including, for example, graphene, graphite, aC, silicon carbide, boron carbide and other similar carbon-based materials .
  • the nature of the carbon-based layer may be characterized by its ratio of forms of carbon-carbon bonding.
  • a ratio of sp 2 -bonds to sp 3 -bonds can be determined via Raman spectroscopy by evaluating the D and G bands.
  • CNT material deposition methods may include, but are not limited to, sputter deposition from a target, plasma-enhanced chemical vapor deposition ("PECVD"), PVD, CVD, arc discharge techniques, and laser ablation.
  • Deposition temperatures may range from about 200 0 C to about 65O 0 C, more generally from about 25 0 C to about 900 0 C.
  • a precursor gas source may include, but is not limited to, hexane, cyclo-hexane, acetylene, single and double short chain hydrocarbons (e.g., methane), various benzene based hydrocarbons, polycyclic aromatics, short chain ester, ethers, alcohols, or a combination thereof.
  • a “seeding” or “cracking” surface e.g., about 1-100 angstroms of iron (“Fe”), nickel (“Ni”), cobalt (“Co”) or the like, although other thicknesses may be used
  • Fe iron
  • Ni nickel
  • Co cobalt
  • the CNT material may be deposited in any thickness.
  • the CNT material may be between about 100 and about 800 angstroms, more generally between about 10 and about 1000 angstroms. Other thicknesses may be used.
  • Lower energy deposition techniques may be used to form a top electrode with minimal energy imparted to the underlying material, thereby reducing the potential for damage to the carbon memory layer. More specifically, a lower energy deposition technique exposes a deposition surface to less energy than physical vapor deposition does. The energy level of a lower energy deposition technique preferably is insufficient to damage the layer of carbon- based material and thereby render it non-functional. Likewise, the energy level preferably is insufficient to cause the top electrode to infiltrate into and/or penetrate through the layer of carbon-based material. Lower energy deposition techniques for deposition of the top electrode may include, for instance, CVD, PECVD, thermal CVD, ALD or e-beam evaporation.
  • the ALD method also may include plasma enhanced ALD (“PE-ALD”), "high- throughput” ALD, and any hybridization of ALD and CVD.
  • PE-ALD plasma enhanced ALD
  • Materials appropriate for deposition using CVD, PECVD and ALD include, but are not limited to, silicon (“Si”), tungsten (“W”), titanium (“Ti”), tantalum (“Ta”), molybdenum (“Mo”), tungsten nitride (“WN”), titanium nitride (“TiN”), tantalum nitride (“TaN”), titanium carbon nitride (“TiCN”), and tantalum carbon nitride (“TaCN”).
  • a liner may be formed above CNT material.
  • the liner includes (1) a first portion disposed above and in contact with the CNT layer; and/or (2) a second portion disposed in and/or around one or more carbon nano-tubes in the CNT layer.
  • the liner may penetrate and/or seal one or more pores in the CNT material.
  • the liner may be a carbon liner that includes one or more of aC, graphene, graphite, silicon carbide, boron carbide or other similar carbon-based materials .
  • Amorphous carbon may further include microcrystalline or nanocrystalline particles of graphitic carbon and/or diamond-like carbon.
  • a carbon liner may be deposited using a similar or different deposition technique than that used to deposit the CNT material.
  • the carbon liner may be formed by sputter deposition from a target, PECVD, PVD, CVD, arc discharge techniques, and laser ablation. Deposition temperatures may range from about 200 0 C to about 65O 0 C, more generally from about 25 0 C to about 900 0 C.
  • a precursor gas source may include, but is not limited to, hexane, cyclo-hexane, acetylene, single and double short chain hydrocarbons (e.g., methane), various benzene based hydrocarbons, polycyclic aromatics, short chain ester, ethers, alcohols, or a combination thereof. Other deposition techniques, temperatures, and precursors may be used .
  • the carbon liner may be deposited in any thickness.
  • the carbon liner may be between about 20 and about 250 angstroms, more generally between about 5 and about 800 angstroms, although other thicknesses may be used.
  • Table 1 below describes an exemplary process window for forming a carbon liner 109 within a PECVD chamber using a processing gas comprising one or more hydrocarbon compounds and a carrier/dilutant gas .
  • the carrier gas may comprise any suitable inert or non-reactive gas such as one or more of He, Ar, H 2 , Kr, Xe, N 2 , etc.
  • the hydrocarbon compounds may have the formula C x H y , with x ranging from about 2 to 4, and y ranging from about 2 to 10.
  • the carbon liner includes: (1) a first portion disposed above and in contact with the CNT layer; and/or (2) a second portion disposed in and/or around one or more carbon nano- tubes in the CNT layer.
  • the carbon liner may penetrate and/or seal one or more pores in the CNT material.
  • the liner may be a BN liner that includes one or more of boron nitride, boron carbon nitride, borazine ("B x HyN-.”), doped boron nitride (referred to herein as "BXN,” where "X” is one or more additional elements, such as silicon, oxygen, tungsten, tantalum, cobalt, molybdenum, titanium, gallium, arsenic, aluminum, phosphorous, hafnium, or other similar element, introduced by doping, ion implantation, or other means), or other forms of boron nitride.
  • BXN doped boron nitride
  • the BN liner may include boron nitride in one or more its polymorphs, such as hexagonal boron nitride, cubic boron nitride, amorphous boron nitride, boron nitride nano-tubes, and other forms .
  • the BN liner may be formed by sputter deposition from a target, ALD, PECVD, PVD, CVD, arc discharge techniques, and laser ablation.
  • Deposition temperatures may range from about 200 0 C to about 65O 0 C, more generally from about 25 0 C to about 900 0 C.
  • a precursor gas source may include, but is not limited to, boron trichloride ("BCl 3 "), boric acid (“B(OH) 3 “), diboron trioxide (“B 2 O 3 “), boron tribromide (“BBr 3 “), diborane ("B 2 H 6 “), boron triflouride ("BF 3 “), boron trichloride (“BCl 3 “), boron sulfide (“B 2 S 3 “), borane (“B x H y “), or a combination thereof.
  • Other deposition techniques, temperatures, and precursors may be used.
  • Table 2 below describes an exemplary process window for forming a BN liner 109 by ALD.
  • Exemplary cycle 1 precursors include BCI3, BBr 3 B 2 H 6 , BF 3 , with BCl 3 being a preferred precursor
  • exemplary cycle 2 precursors include NH 3 , N 2 H 4 , N 2 + H 2 , with NH 3 being a preferred precursor.
  • a remote downstream plasma also can be used to generate the plasma rather than an RF source.
  • Other precursors, temperatures, pressures, flow rates, frequencies, powers, and/or pulse times may be used.
  • BN may be deposited in cycles, in which ALD of boron ("B") is followed by ALD of N. In a first cycle, the B deposition cycle, a boron precursor is allowed to deposit onto the surface.
  • a first purge step is performed to remove any of the first precursor which remains and which has not been deposited on the substrate.
  • a nitrogen precursor is allowed to absorb and/or react with the adsorbed B, to produce about a monolayer of boron nitride.
  • a second purge step is performed to remove any of the second precursor which remains and which has not reacted with the B. The number of pairs of cycles determines the overall film thickness.
  • the BN liner may be deposited in any thickness.
  • the BN liner may be between about 20 and about 250 angstroms, more generally between about 5 and about 800 angstroms, although other thicknesses may be used .
  • Table 3 describes exemplary process windows for forming a BN liner 109 by PVD using boron nitride and boron targets.
  • the BN liner includes: (1) a first portion disposed above and in contact with the CNT layer; and/or (2) a second portion disposed in and/or around one or more carbon nano-tubes in the CNT layer.
  • the BN liner may penetrate and/or seal one or more pores in the CNT material .
  • formation of a microelectronic structure includes formation of an MIM device having a CNT material disposed between a bottom electrode and a top electrode, with a liner, such as a carbon liner or a BN liner, disposed above the CNT material.
  • the top electrode may be deposited using a lower energy deposition technique.
  • the CNT material may comprise undamaged, or reduced-damage, CNT material that is not penetrated, and preferably not infiltrated, by the top electrode.
  • FIG. 1 is a cross-sectional elevational view of a first exemplary microelectronic structure 100, also referred to as memory element 100, provided in accordance with this invention.
  • Memory element 100 may be used in conjunction with a steering element (e.g., an externally- provided diode, transistor or other similar steering element) to form a memory cell.
  • Memory element 100 includes a first conductor 102 formed over a substrate (not shown), such as over an insulating layer over the substrate.
  • the first conductor 102 may include a first metal layer 104, such as tungsten, copper ("Cu”), aluminum (“Al”), gold (“Au”), or other metal layer.
  • first metal layer 104 may be tungsten and have a thickness between about 1200 angstroms and about 2000 angstroms, more generally between about 500 angstroms and about 3000 angstroms. Other materials and/or thicknesses may be used.
  • First conductor 102 may comprise a lower portion of a MIM structure 105 and function as a bottom electrode of MIM 105.
  • An adhesion layer 106 such as a TiN, TaN, W, WN, Mo, or similar material, is optional but is shown in FIG. 1 formed over the first metal layer 104.
  • adhesion layer 106 may be TiN having a thickness between about 100 and about 1200 angstroms, more generally between about 20 and about 3000 angstroms.
  • first conductors 102 may be provided and isolated from one another (e.g., by employing silicon dioxide ("SiCV) or other dielectric material isolation between each of first conductors 102) .
  • first conductor 102 may be a word-line or a bit-line of grid-patterned array.
  • an optional boron nitride layer 113 may be formed over first conductor 102.
  • boron nitride layer 113 may be formed having a thickness between about 20 angstroms and about 250 angstroms, more generally between about 5 angstroms and about 800 angstroms.
  • Boron nitride layer 113 may be formed by sputter deposition from a target, ALD, PECVD, PVD, CVD, arc discharge techniques, and laser ablation, as described above.
  • CNT material may bond better to boron nitride layer 113 than to a metal electrode.
  • boron nitride layer 113 may reduce metal migration into the memory cell during high electrical stress operation.
  • a layer of resistivity-switchable material 108 containing carbon nano-tubes 108a is formed over first conductor 102 (or optional boron nitride layer 107) using any exemplary CNT formation process.
  • carbon-based material layer 108 will be referred to as "CNT layer 108" .
  • CNT layer 108 may have a thickness between about 100 and about 800 angstroms, more generally between about 10 and about 1000 angstroms.
  • CNT layer 108 may comprise a middle portion of MIM structure 105.
  • CNT layer 108 may include a porous, mesh-like network of carbon nano-tubes 108a.
  • CNT layer 108 may be deposited by various techniques.
  • One technique involves spray- or spin-coating a CNT suspension over the first conductor 102, thereby creating a random CNT material.
  • Another technique involves growing carbon nano-tubes from a seed anchored to the substrate by CVD, PECVD or the like.
  • CNT layer 108 may be deposited using techniques such as described in the '154 application, and related U.S. Patent Application Serial No. 11/968,156, filed December 31, 2007, titled "Memory Cell That Employs A Selectively Fabricated Carbon Nano-Tube Reversible Resistance-Switching Element Formed Over A Bottom Conductor And Methods Of Forming The Same" (Docket No. SD-MXA-242), and U.S. Patent Application Serial
  • an anneal step may be performed to modify the properties of CNT layer 108.
  • the anneal may be performed in a vacuum or the presence of one or more forming gases, at a temperature in the range from about 350 0 C to about 900 0 C, for about 30 to about 180 minutes.
  • the anneal preferably is performed in about an 80% (N 2 ) : 20% (H 2 ) mixture of forming gases, at about 625°C for about one hour. This anneal may be performed prior to the formation of a top electrode above CNT layer 108.
  • a queue time of about 2 hours between the anneal and the electrode metal deposition preferably accompanies the use of the anneal.
  • a ramp up duration may range from about 0.2 hours to about 1.2 hours and preferably is between about 0.5 hours and about 0.8 hours.
  • a ramp down duration also may range from about 0.2 hours to about 1.2 hours and preferably is between about 0.5 hours and about 0.8 hours.
  • CNT layer 108 may absorb water from the air and/or might have one or more functional groups attached to CNT layer 108 after CNT layer 108 is formed.
  • Organic functional groups are sometimes required for pre-deposition processing.
  • One of the exemplary functional groups is a carboxylic group.
  • the moisture and/or organic functional groups may increase the likelihood of delamination of CNT layer 108.
  • the functional groups may attach to CNT layer 108, for instance, during a cleaning and/or filtering process.
  • the post-carbon- formation anneal may remove the moisture and/or carboxylic or other functional groups associated with CNT layer 108.
  • delamination of CNT layer 108 and/or top electrode material from a substrate is less likely to occur if CNT layer 108 is annealed prior to formation of the top electrode over CNT layer 108.
  • a post-CNT-formation-anneal preferably takes into account other layers present on the device that includes CNT layer 108, inasmuch as these other layers will also be subject to the anneal.
  • the anneal may be omitted or its parameters may be adjusted where the aforementioned preferred anneal parameters would damage the other layers.
  • the anneal parameters may be adjusted within ranges that result in the removal of moisture and/or carboxylic or other functional groups without damaging the layers of the annealed device.
  • the temperature may be adjusted to stay within an overall thermal budget of a device being formed.
  • any exemplary forming gases, temperatures and/or durations may be used that are appropriate for a particular device.
  • such an anneal may be used with any carbon- containing material, such as layers having CNT material, graphite, graphene, amorphous carbon, silicon carbide, boron carbide and other similar carbon-based materials.
  • Exemplary forming gases may include one or more of nitrogen (“N 2 "), argon (“Ar”), and hydrogen (“H 2 "), whereas preferred forming gases may include a mixture having above about 75% N 2 or Ar and below about 25% H 2 .
  • a vacuum may be used.
  • Exemplary temperatures may range from about 585°C to about 675°C, more generally from about 350 0 C to about 900 0 C.
  • Exemplary durations range from about 1 hour to about 1.5 hours, more generally from about 0.5 hour to about 3 hours.
  • Exemplary pressures may range from about 30OmT to about 60OmT, more generally from about ImT to about 760T.
  • a liner layer 109 may be formed above CNT layer 108.
  • Liner 109 may be between about 20 angstroms and about 250 angstroms, more generally from about 5 angstroms and about 800 angstroms. Other thicknesses may be used.
  • liner 109 includes:
  • liner 109 may penetrate and/or seal one or more pores in CNT layer 108.
  • Liner 109 may serve as a defensive interface with layers above it, in particular the top electrode layers.
  • liner 109 may be a carbon liner or may be a BN liner .
  • a carbon liner 109 preferably may include one or more of amorphous carbon, and/or other non- CNT carbon-based materials, such as graphene, graphite, diamond-like carbon, other variations of sp 2 -rich or sp 3 - rich carbon materials, silicon carbide, boron carbide and other similar carbon-based materials.
  • An exemplary process for forming a carbon liner 109 is described above in Table 1.
  • a BN liner 109 preferably may include one or more of boron nitride, boron carbon nitride, borazine, BXN, or other form of born-nitride material. Exemplary processes for forming a BN liner 109 are described above in Tables 2 and 3.
  • Liner 109 and its thickness also may be selected to exhibit vertical electrical resistance appropriate for memory element 100 in which it is incorporated, taking into account, for example, preferred read, write, and programming voltages or currents.
  • Vertical resistance e.g., in the direction of current travel between the two electrodes as shown in FIG. 1, of CNT layers 108 and liner 109 will determine current or voltage differences during operation of microelectronic structure 100.
  • Vertical resistance depends, for instance, on material vertical resistivity and thickness, and feature size and critical dimension. In the case of CNT layer 108, vertical resistance may differ from horizontal resistance, depending on the orientation of the carbon nano-tubes themselves, as they appear to be more conductive along the tubes than between the tubes .
  • an adhesion/barrier layer 110 such as TiN, TaN, W, WN, Mo, TaCN, or the like, may be formed over liner 109 (or CNT layer 108 if liner 109 is not used) .
  • adhesion/barrier layer 110 may be TiN with a thickness of between about 100 angstroms and about 1200 angstroms, more generally between about 20 angstroms and about 3000 angstroms.
  • adhesion layer 110 may function as a top electrode of MIM device 105 that includes CNT layer 108 and optional liner 109, and first metal layer 104 and optional adhesion layer 106 as the bottom electrode.
  • top electrode 110 may be deposited using a lower energy deposition technique, e.g., one involving energy levels lower than those used in PVD of similar materials.
  • exemplary deposition techniques may include chemical vapor deposition, plasma enhanced CVD, thermal CVD, atomic layer deposition, plasma enhanced ALD, a combination of CVD and ALD, and electron beam evaporation, and other similar techniques .
  • top electrode 110 Use of a lower energy deposition technique to deposit top electrode 110 on the carbon material reduces the potential for deposition-associated damage to CNT layer 108 and the potential for infiltration and/or penetration of CNT layer 108 by top electrode 110.
  • use of lower energy deposition techniques may be particularly advantageous to limit the deleterious effects of the deposition of top electrode 110.
  • CNT layer 108 preferably remains undamaged and substantially free of top electrode 110 material, which otherwise might have infiltrated CNT layer 108 under higher-energy, PVD-type conditions .
  • top electrode 110 Even if CNT layer 108 experiences some damage or infiltration at a top portion (e.g., near liner 109) serving as an interface with top electrode 110, at least a core portion of CNT layer 108 preferably remains functional as a switching element, being undamaged and not infiltrated.
  • Top electrode 110 preferably forms an interface having a sharp profile delimiting the top electrode material and the carbon material.
  • the possibly-compromised top portion and functioning core may be subdivisions of CNT layer 108. This result preferably applies to the embodiments of FIGS. 2-4 as well.
  • the MIM stack 105 may be patterned, for example, with about 1.2 microns to about 1.4 microns, more generally about 1 micron to about 1.5 microns, of photoresist using standard photolithographic techniques.
  • Top electrode 110 then may be etched using boron trichloride ("BCI3") and chlorine ("CI2") chemistries, for example, as described below, or any other exemplary etch.
  • BCI3 boron trichloride
  • CI2 chlorine
  • top electrode 110, liner 109, and CNT layer 108 may be patterned using a single etch step. In other embodiments, separate etch steps may be used.
  • the CNT materials may be etched using, for example, BCI3 and CI 2 .
  • a plasma etch tool may generate a plasma based on BCI3 and CI 2 gas flow inputs, generating reactive species such as Cl+ that may etch a CNT material.
  • a low bias power of about 100 Watts or less may be employed, although other power ranges may be used.
  • Exemplary processing conditions for a CNT material, plasma etch process are provided below in Table 4. Other flow rates, chamber pressures, power levels, process temperatures, and/or etch rates may be used .
  • CNT materials may be etched using oxygen chemistries.
  • Table 5 provides exemplary process parameters for an oxygen-based etch. Other etch chemistries may be used.
  • top electrode/liner/CNT features may be isolated with SiO 2 or other dielectric fill 111, and then planarized.
  • a second conductor 112 may be formed over top electrode 110.
  • Second conductor 112 may include a barrier/adhesion layer 114, such as TiN, W, WN, Mo, TaN or a similar material, and a metal layer 116 (e.g., tungsten or other conductive material).
  • the MIM device 105 may serve as a state change material for memory element 100.
  • CNT layer 108 may form a reversible resistance-switching element of the memory element 100, wherein the memory element is adapted to switch two or more resistivity states.
  • memory element 100 may be coupled in series with a steering element such as a diode, a tunnel junction, or a transistor, such as a thin film transistor ("TFT") .
  • the steering element may include a polycrystalline vertical diode.
  • Memory operation is based on a bi-stable resistance change in CNT layer 108 with the application of high bias voltage (e.g., > 4 V) . Current through memory element 100 is modulated by the resistance of CNT layer 108.
  • Memory element 100 is read at a lower voltage that will not change the resistance of CNT layer 108.
  • the difference in resistivities between the two states may be over 10Ox.
  • Memory element 100 may be changed from a "0" to a "I 1 " for example, with the application of high forward bias on the steering element (e.g., a diode).
  • Memory element 100 may be changed back from a "1" to a "0” with the application of a high forward bias.
  • this integration scheme can be extended to include CNT materials in series with a TFT as the steering element instead of a vertical pillar diode.
  • the TFT steering element may be either planar or vertical.
  • formation of a microelectronic structure includes formation of a memory cell that includes a steering element in series with an MIM device having a carbon film disposed between a bottom electrode and a top electrode.
  • the carbon film may comprise a CNT layer with a liner, such as a carbon liner or a BN liner, above the CNT layer.
  • the top electrode may be deposited using a lower energy deposition technique, and the carbon film may comprise undamaged, or reduced-damage, CNT material that is not penetrated, and preferably not infiltrated, by the top electrode .
  • FIG. 2A is a cross-sectional elevational view of an exemplary memory cell structure 200A provided in accordance with the present invention in which the steering element is a diode.
  • memory cell structure 200A includes a first conductor 202 formed over a substrate (not shown) , such as over an insulating layer covering the substrate.
  • First conductor 202 may include a first metal layer 203, such as a W, Cu, Al, Au, or other metal layer, with a first barrier/adhesion layer 204, such as a TiN, W, WN, Mo, TaN or similar layer, formed over first metal layer 203.
  • first conductors 202 may be provided and isolated from one another. For instance, after patterning and etching first conductors 202, a gap fill deposition of SiC> 2 or other dielectric material may isolate each of first conductors 202. After depositing dielectric material over first conductors 202, the device structure may be planarized to re-expose the electrically- isolated first conductors 202.
  • a vertical P-I-N (or N-I-P) diode 206 may be formed above first conductor 202.
  • diode 206 may include a polycrystalline semiconductor (e.g., polysilicon, polygermanium, silicon-germanium alloy, etc.) diode.
  • Diode 206 may include a layer 206n of heavily doped n+ semiconductor material, having an exemplary thickness of between about 200 angstroms and about 800 angstroms; a layer 206i of intrinsic or lightly doped semiconductor material, having an exemplary thickness of between about 600 angstroms and about 2400 angstroms; and a layer 206p of heavily doped p+ semiconductor material, having an exemplary thickness of between about 200 angstroms and about 800 angstroms.
  • a layer 206n of heavily doped n+ semiconductor material having an exemplary thickness of between about 200 angstroms and about 800 angstroms
  • a layer 206i of intrinsic or lightly doped semiconductor material having an exemplary thickness of between about 600 angstroms and about 2400 angstroms
  • a layer 206p of heavily doped p+ semiconductor material having an exemplary thickness of between about 200 angstroms and about 800 angstroms.
  • Persons of ordinary skill in the art will understand that the vertical order of layers 206n,
  • a suicide region (not shown) may be formed in contact with diode 206.
  • An adhesion/barrier layer 207 may be formed above diode 206 and may comprise, for instance, about 20 angstroms to about 3000 angstroms of TiN, TaN, W, WN, Mo, TaCN, or other similar conductive adhesion or barrier material .
  • an optional boron nitride layer 213 may be formed over adhesion/barrier layer 207.
  • boron nitride layer 213 may be formed having a thickness between about 20 angstroms and about 250 angstroms, more generally between about 5 angstroms and about 800 angstroms.
  • Boron nitride layer 213 may be formed by sputter deposition from a target, ALD, PECVD, PVD, CVD, arc discharge techniques, and laser ablation, as described above.
  • CNT material may bond better to boron nitride layer 213 than to a metal electrode.
  • boron nitride layer 213 may reduce metal migration into the memory cell during high electrical stress operation.
  • a metal hard mask such as W or the like may be employed on top of adhesion/barrier layer 207.
  • Adhesion/barrier layer 207 and diode 206 may be patterned and etched to form a pillar. [If the diode is patterned separately, the optional boron nitride layer would not be patterned at that stage. Instead, it would be deposited after patterning]
  • a plurality of these pillars may be provided and isolated from one another, such as by employing SiC> 2 or other dielectric material isolation between each of the pillars (e.g., by depositing dielectric material over the pillars and then planarizing the device structure to re- expose the electrically-isolated pillars).
  • Adhesion layer 207 (and optional boron nitride layer 213) may function as a bottom electrode of MIM device 205 that includes a CNT layer 208 and an optional liner 209, and an adhesion layer 210 as a top electrode.
  • adhesion/barrier layer 207 (and optional boron nitride layer 213) as "bottom electrode 207" of MIM 205 with respect to FIG. 2A.
  • a CNT layer 208 containing carbon nano-tubes 208a may be formed over bottom electrode 207 using any exemplary CNT formation process (as described previously) .
  • a liner 209 may be formed above CNT layer 208.
  • Liner 209 may be a carbon liner or a BN liner, or may include other similar material, and may be formed as described above, such as described previously with reference to FIG. 1.
  • Liner 209 may be between about 20 angstroms and about 250 angstroms, more generally from about 5 angstroms and about 800 angstroms. Other thicknesses may be used.
  • liner 209 includes: (1) a first portion 209a disposed above and in contact with CNT layer 208; and (2) a second portion 209b disposed in and/or around one or more carbon nano-tubes 208a in CNT layer 208. In exemplary embodiments of this invention, liner 209 may penetrate and/or seal one or more pores in CNT layer 208.
  • a second adhesion/barrier layer 210 such as TiN, W, WN, Mo, TaN or the like, is formed over liner 209.
  • adhesion layer 210 may function as a top electrode of MIM 205.
  • the following sections refer to adhesion/barrier layer 210 as "top electrode 210" of MIM 205.
  • top electrode 210 may be deposited using a lower energy deposition technique, such as chemical vapor deposition, atomic layer deposition, a combination of CVD and ALD techniques, and/or electron beam evaporation.
  • the MIM stack may be patterned, for example, with about 1 to about 1.5 microns, more preferably about 1.2 to about 1.4 microns, of photoresist using standard photolithographic techniques. The stack then is etched.
  • CNT layer 208 and liner 209 may be etched using a different etch step than the etch step used for the top electrode 210 (e.g., consecutively in the same chamber).
  • top electrode 210 may be etched using a chlorine process (e.g., as described above in connection with Table 4), whereas CNT layer 208 may be etched using a chlorine-argon chemistry (described below) , or an oxygen chemistry (e.g., as described above in connection with Table 5) .
  • a single etch step may be used. However, in some embodiments, it has been found that using argon during the carbon material etch increases the etch rate of the carbon material.
  • Etching carbon materials using chlorine and argon chemistries may be performed as described below, and such a method is compatible with standard semiconductor tooling.
  • a plasma etch tool may generate a plasma based on BCI3, CI 2 and argon gas flow inputs, generating reactive species such as Cl+ and Ar+ that may etch a CNT material.
  • a low bias power of about 100 Watts or less may be employed, although other power ranges may be used.
  • Exemplary processing conditions for a CNT material, plasma etch process are provided below in Table 3. Other flow rates, chamber pressures, power levels, process temperatures, and/or etch rates may be used.
  • Second conductor 212 may comprise, for instance, about 500 angstroms to about 6000 angstroms of conductive material.
  • Second conductor 212 may include an optional barrier/adhesion layer 214, such as about 20 angstroms to about 3000 angstroms of TiN, TaN, W, WN, molybdenum, or a similar layer, and a metal layer 216, such as about 500 angstroms to about 3000 angstroms of W or other conductive layer.
  • barrier/adhesion layer 214 such as about 20 angstroms to about 3000 angstroms of TiN, TaN, W, WN, molybdenum, or a similar layer
  • a metal layer 216 such as about 500 angstroms to about 3000 angstroms of W or other conductive layer.
  • the etch stack may include about 1.2 microns to about 1.4 microns, more generally about 0.1 micron to about 1.5 microns of photoresist, about 1000 to about 3000 angstroms of SiO 2 hardmask, about 200 to about 2200 angstroms of TiN (per TiN layer), about 100 to about 800 angstroms of CNT material 208, and about 20 to about 250 angstroms of a carbon material or a boron nitride material as liner 209. Other material thicknesses may be used.
  • the oxide hard mask may be etched using an oxide etcher and conventional chemistries using an endpoint to stop on top electrode 210.
  • the adhesion/barrier and CNT layers may be etched using a metal etcher, for example.
  • An exemplary metal etcher is the LAM 9600 metal etcher, available from Lam of Fremont, CA. Other etchers may be used.
  • the photoresist may be ashed using standard procedures before continuing to the adhesion/barrier and CNT etch, whereas in other embodiments the PR is not ashed until after the CNT etch.
  • a 2000 angstrom TiN adhesion/barrier layer may be etched using about 85-110 Watts bias, about 45-60 standard cubic centimeters per minute ("seem") of BCI3, and about 15-25 seem of CI 2 for about a 60 second timed etch. Other bias powers, flow rates and etch durations may be used.
  • the CNT etch may include about 45-60 seem of BCI3, about 15-25 seem of CI 2 and about 15-25 seem of Argon using about 125-175 Watts bias for about 55-65 seconds.
  • the identical conditions may be used with a longer etch time (e.g., about 60-70 seconds) . In either case, a chuck temperature of 60-70 0 C may be employed during the CNT etch.
  • Exemplary ranges for the CNT dry etch include about 100 to 250 Watts bias, about 45°C to 85 0 C chuck temperature, and a gas ratio range of about 2:1 to 5:1 BCl3:Cl2 and about 5:1 Ar:Cl2 to no argon.
  • the etch time may be proportional to the CNT thickness.
  • An ash may be used for a post-etch clean when the PR is not ashed prior to etching.
  • the bias and/or directionality component of the ashing process may be increased and the pressure of oxygen during the ashing process may be reduced. Both attributes may help to reduce undercutting of the CNT material .
  • Any exemplary ashing tool may be used, such as an Iridia Asher available from GaSonics International of San Jose, CA.
  • an ashing process may include two steps (e.g., when a third high pressure oxygen step is removed) .
  • Exemplary process conditions for the first ashing step are provided in Table 7 below.
  • Exemplary process conditions for the second ashing step are provided in Table 8 below.
  • Other flow rates, pressures, RF powers and/or times may be used.
  • the bias power may be increased from zero for normal processing. No ashing is used post CNT etch when PR ashing is performed prior to CNT etching. Ashing time is proportional to resist thickness used.
  • Post CNT etch cleaning whether or not PR ashing is performed before CNT etching, may be performed in any exemplary cleaning tool, such as a Raider tool, available from Semitool of Kalispell, Montana. Exemplary post CNT etch cleaning may include using ultra-dilute sulfuric acid (e.g., about 1.5-1.8 wt%) for about 60 seconds and ultra-dilute HF (e.g., about 0.4-0.6 wt%) for 60 seconds. Megasonics may or may not be used.
  • diode 206 is formed below MIM 205.
  • diode 206 alternatively may be formed above MIM 205, such as in memory cell 200B illustrated in FIG. 2B.
  • formation of a microelectronic structure includes formation of a memory cell that includes a dielectric sidewall liner to protect the CNT material from degradation during a dielectric fill step.
  • the dielectric sidewall liner and its use are compatible with standard semiconductor tooling.
  • FIG. 3A is a cross-sectional elevational view of an exemplary memory cell structure 300A provided in accordance with the present invention.
  • memory cell structure 300A includes a diode disposed below an MIM device having a CNT film covered by a liner and disposed between a bottom electrode and a top electrode.
  • memory cell structure 300A includes a first conductor 302 formed over a substrate (not shown) .
  • First conductor 302 may include a first metal layer 303, such as a W, Cu, Al, Au, or other metal layer, with a first barrier/adhesion layer 304, such as a TiN, W, WN, Mo, TaN or similar layer, formed over first metal layer 303.
  • a plurality of first conductors 302 may be provided and isolated from one another (e.g., by employing SiC> 2 or other dielectric material isolation between each of the first conductors 302) .
  • diode 306 may include a polycrystalline semiconductor (e.g., polysilicon, polygermanium, silicon-germanium alloy, etc.) diode.
  • Diode 306 may include a layer 306n of heavily doped n+ semiconductor material; a layer 306i of intrinsic or lightly doped semiconductor material; and a layer 306p of heavily doped p+ semiconductor material.
  • the vertical order of the diode 306 layers 306n, 306i, and 306p may be reversed.
  • an optional suicide region 306s may be formed over diode 306.
  • silicide-forming materials such as titanium and cobalt react with deposited silicon during annealing to form a suicide layer.
  • the lattice spacings of titanium suicide and cobalt suicide are close to that of silicon, and it appears that such suicide layers may serve as "crystallization templates" or "seeds" for adjacent deposited silicon as the deposited silicon crystallizes (e.g., the suicide layer enhances the crystalline structure of diode 306 during annealing) . Lower resistivity silicon thereby is provided.
  • adhesion/barrier layer 307 may comprise a layer stack 307 including a first adhesion/barrier layer 307a, a metal layer 307b, such as of W, and a further adhesion/barrier layer 307c, such as of TiN.
  • layers 307a and 307b may serve as a metal hard mask that may act as a chemical mechanical planarization ("CMP") stop layer and/or etch-stop layer.
  • CMP chemical mechanical planarization
  • diode 306 and layers 307a and 307b may be patterned and etched to form pillars, and dielectric fill material 311 may be formed between the pillars.
  • the stack may then be planarized, such as by CMP or etch-back, to co-expose the gap fill 311 and layer 307b.
  • Layer 307c may then be formed on layer 307b.
  • layer 307c may be patterned and etched along with diode 306 and layers 307a and 307b.
  • layer 307c may be eliminated, and CNT layer 308 may interface directly with layer 307b (e.g., W).
  • a CNT layer 308 containing carbon nano- tubes 308a may be formed over adhesion/barrier layer or layer stack 307 using any exemplary CNT formation process (as described previously) .
  • an optional boron nitride layer (not shown) may be formed over adhesion/barrier layer 307 prior to forming CNT layer 308.
  • a liner 309 may be formed above CNT layer 308.
  • Liner 309 may comprise a carbon liner, a BN liner, or may contain other similar liner material, formed as described above. Liner 309 may be between about 20 angstroms and about 250 angstroms, more generally from about 5 angstroms and about 800 angstroms. Other thicknesses may be used.
  • liner 309 includes: (1) a first portion 309a disposed above and in contact with CNT layer 308; (2) and a second portion 309b disposed in and/or around one or more carbon nano-tubes 308a in CNT layer 308. In exemplary embodiments of this invention, liner 309 may penetrate and/or seal one or more pores in CNT layer 308.
  • a second adhesion/barrier layer 310 such as TiN, W, WN, Mo, TaN or the like, is formed over liner 309.
  • Adhesion layer 307 may function as a bottom electrode of MIM device 305 that includes CNT layer 308 and optional liner 309, and an adhesion layer 310 as a top electrode. As such, the following sections refer to adhesion/barrier layer 307 as "bottom electrode 307" with respect to FIG. 3A. Similarly, adhesion/barrier layer 310 is referred to as "top electrode 310" of the MIM 305 of FIG. 3A.
  • Top electrode 310 may be deposited using a lower energy deposition technique, such as chemical vapor deposition, atomic layer deposition, a combination of CVD and ALD, and/or electron beam evaporation.
  • An additional hardmask and/or CMP stop layer 314 also may be formed (as shown) .
  • the stack Before formation of a top conductor 312, which may include an adhesion layer (not shown) and a conductive layer 316, the stack may be patterned, for example, with about 1.2 microns to about 1.4 microns, more generally about 0.1 micron to about 1.5 microns photoresist using standard photolithographic techniques. The stack then is etched.
  • the etch may apply to layers 308, 309, 310, and possibly 307c and 314.
  • layers 314, 310 may serve as a hardmask and/or CMP stop for CNT layer 308 and liner 309.
  • CNT layer 308 and liner 309 may be etched using a different etch step than the etch step used for second adhesion/barrier layer 310 (e.g., consecutively in the same chamber) .
  • the stack may be etched using a plasma etcher and using a chlorine chemistry followed by a chlorine-argon chemistry under low bias conditions (e.g., a chlorine chemistry may be used to etch the TiN film and a chlorine-argon chemistry may be used to etch the CNT material), as described previously with reference to the second embodiment.
  • a single etch step may be used (e.g., using a chlorine chemistry, such as in Table 4, an oxygen chemistry, such as in Table 5, or a chlorine-argon chemistry, such as in Table 6, for both the TiN and CNT materials).
  • a chlorine chemistry such as in Table 4
  • an oxygen chemistry such as in Table 5
  • a chlorine-argon chemistry such as in Table 6
  • Such an etched film stack has been observed to have nearly vertical sidewalls and little or no undercut of the CNT material 308.
  • CNT layer 308 may be overetched such that etching of underlying dielectric gap fill material may occur.
  • the stack may be cleaned prior to dielectric gap fill.
  • deposition of gap fill 311' may occur.
  • Standard PECVD techniques for depositing dielectric material may employ an oxygen plasma component that is created in the initial stages of deposition. This initial oxygen plasma may harm CNT layer 308, causing undercutting and poor electrical performance.
  • a dielectric liner 318 may be formed with a different deposition chemistry (e.g., without a high oxygen component) to protect CNT layer 308 and liner 309 as the remaining gap-fill dielectric 311' (e.g., SiC> 2 ) is deposited.
  • a silicon nitride dielectric liner 318 followed by a standard PECVD SiO 2 dielectric fill 311' may be used.
  • Stoichiometric silicon nitride is Si3N 4 , but "SiN" is used herein to refer to stoichiometric and non-stoichiometric silicon nitride alike .
  • a dielectric liner 318 is deposited conformally over the top electrode/liner/CNT features (or top electrode/liner/CNT/TiN features) before gap fill portion 311', e.g., the remainder of the dielectric gap fill, is deposited.
  • Dielectric liner 318 preferably covers the outer sidewalls of CNT layer 308 and liner 309 and isolates them from dielectric fill 311'.
  • dielectric liner 318 may comprise about 200 to about 500 angstroms of SiN.
  • the structure optionally may comprise other layer thicknesses and/or other materials, such as Si x C y N 2 and Si x N y O 2 (with low 0 content), etc., where x, y and z are non-zero numbers resulting in stable compounds.
  • fill liner 318 may extend below CNT layer 108.
  • top electrode/liner/CNT or top electrode/liner/CNT/TiN features are then isolated, with SiC> 2 or other dielectric fill 311', and planarized, to co- expose top electrode 310 and gap fill 311'.
  • a second conductor 312 is formed over second adhesion/barrier layer 310, or layer 314, if layer 314 is used as a hard mask and etched along with layers 308, 309, and 310.
  • the second conductor 312 may include a barrier/adhesion layer, such as TiN, TaN or a similar layer, as shown in FIGS. 1 and 2, and a metal layer 316, such as a W or other conductive layer. In contrast to FIGS. 1 and 2, FIG.
  • Layer 3 depicts a layer 314 of tungsten deposited on adhesion/barrier layer 310 before the stack is etched, so that layer 314 is etched as well.
  • Layer 314 may act as a metal hard mask to assist in etching the layers beneath it. Insofar as layers 314 and 316 both may be tungsten, they should adhere to each other well .
  • a SiC> 2 hard mask may be used.
  • a SiN dielectric liner 318 may be formed using the process parameters listed in Table 9. Other powers, temperatures, pressures, thicknesses and/or flow rates may be used.
  • Liner film thickness scales linearly with time.
  • the remaining thicker dielectric fill 311' may be immediately deposited (e.g., in the same tool).
  • Exemplary SiO 2 dielectric fill conditions are listed in Table 10. Other powers, temperatures, pressures, thicknesses and/or flow rates may be used.
  • Gap fill film thickness scales linearly with time
  • the SiO 2 dielectric fill 311' can be any thickness, and standard SiO 2 PECVD methods may be used.
  • Using an exemplary thinner SiN liner 318 preferably gives a continuous film and adequate protection to the oxygen plasma from a PECVD SiC> 2 deposition without the stress associated with thicker SiN films.
  • standard oxide chemistry and slurry advantageously may be used to chemically mechanically polish away a thin SiN liner 318 before forming conductor 312, without having to change to a SiN specific CMP slurry and pad part way through the polish.
  • Experimental data indicate that use of a dielectric liner 318 provided the highest yield of devices with forward currents in the range from about 10 ⁇ 5 to about 10 ⁇ 4 amperes. Additionally, use of a SiN liner 318 provided individual devices with the largest cycles of operation. Moreover, data indicate that using thin SiN liner 318 as a protective barrier against CNT material degradation during a dielectric fill improves electrical performance.
  • diode 306 is formed below MIM 305.
  • diode 306 alternatively may be formed above MIM 305, such as in memory cell 300B illustrated in FIG. 3B.
  • microelectronic structure 300B may include the diode 306 positioned above CNT layer 308 and liner 309, causing some rearrangement of the other layers.
  • CNT layer 308 may be deposited either on an adhesion/barrier layer 307c, as shown in FIG. 3A, or directly on lower conductor 302, as shown in FIG. 3B.
  • Tungsten from a lower conductor 302 may assist catalytically in formation of CNT layer 308.
  • Liner 309 then may be formed on CNT layer 308.
  • An adhesion/barrier layer 310 may be formed on liner 309, followed by formation of diode 306, including possible suicide region 306s.
  • An adhesion/barrier layer 307 may be formed on diode 306 (with or without suicide region 306s) .
  • FIG. 3B depicts a layer 314, such as tungsten, on layer 307, and layer 314 may serve as a metal hard mask and/or adhesion layer to the metal layer 316 of second conductor 312, preferably also made of tungsten.
  • the stack may be patterned and etched into a pillar, as described above, and a dielectric liner 318 may be deposited conformally on the pillar and the dielectric fill 311 that isolates the first conductors 302. In this case, liner 318 may extend upward the entire height of the stack between first conductor 302 and second conductor 312.
  • formation of a microelectronic structure includes formation of a monolithic three dimensional memory array including memory cells comprising an MIM device having a carbon-based memory element disposed between a bottom electrode and a top electrode.
  • the carbon-based memory element may comprise an optional carbon liner or a BN liner above CNT material.
  • the top electrode in the MIM may be deposited using a lower energy deposition technique, such as chemical vapor deposition, atomic layer deposition, a combination of CVD and ALD, and/or electron beam evaporation .
  • FIG. 4 shows a portion of a memory array 400 of exemplary memory cells formed according to the fourth exemplary embodiment of the present invention.
  • Memory array 400 may include first conductors 410, 410' that may serve as wordlines or bitlines, respectively; pillars 420, 420' (each pillar 420, 420' comprising a memory cell) ; and second conductors 430, that may serve as bitlines or wordlines, respectively.
  • First conductors 410, 410' are depicted as substantially perpendicular to second conductors 430.
  • Memory array 400 may include one or more memory levels.
  • a first memory level 440 may include the combination of first conductors 410, pillars 420 and second conductors 430, whereas a second memory level 450 may include second conductors 430, pillars 420' and first conductors 410'. Fabrication of such a memory level is described in detail in the applications incorporated by reference herein.
  • Embodiments of the present invention prove particularly useful in formation of a monolithic three dimensional memory array.
  • a monolithic three dimensional memory array is one in which multiple memory levels are formed above a single substrate, such as a wafer, with no intervening substrates. The layers forming one memory level are deposited or grown directly over the layers of an existing level or levels.
  • stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, U.S. Patent No. 5,915,167. The substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays.
  • a related memory is described in Herner et al . , U.S. Patent Application Serial No. 10/955,549, filed September 29, 2004, titled "Nonvolatile Memory Cell Without A Dielectric Antifuse Having High- And Low-Impedance States" (the "'549 application”) (Docket No. SD-MA-086-a-l ) , which is hereby incorporated by reference herein in its entirety for all purposes.
  • the '549 application describes a monolithic three dimensional memory array including vertically oriented p-i-n diodes like diode 206 of FIG. 2. As formed, the polysilicon of the p-i-n diode of the '549 application is in a high-resistance state.
  • Forming a diode having a silicon-poor intrinsic layer above a heavily n- doped layer, the two separated by a thin intrinsic capping layer of silicon-germanium, will allow for sharper transitions in the dopant profile, and thus reduce overall diode height.

Abstract

La présente invention concerne des procédés permettant de fabriquer des structures micro-électroniques en formant une couche de nanotubes de carbone ("couche CNT") et une couche de nitrure de bore ("revêtement BN") au-dessus de la couche CNT, le revêtement BN comprenant (1) une première partie disposée au-dessus et en contact avec la couche CNT, et/ou (2) une deuxième partie disposée dans et/ou autour d'un ou plusieurs nanotubes de carbone dans la couche CNT. L'invention concerne en outre de nombreux autres aspects.
PCT/US2009/062507 2008-10-30 2009-10-29 Dispositifs électroniques comprenant un film de nanotubes de carbone recouvert d'une couche à base de nitrure de bore, et procédés de fabrication associés WO2010059362A1 (fr)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10990508P 2008-10-30 2008-10-30
US61/109,905 2008-10-30
US12/408,419 US20100108976A1 (en) 2008-10-30 2009-03-20 Electronic devices including carbon-based films, and methods of forming such devices
US12/408,419 2009-03-20

Publications (1)

Publication Number Publication Date
WO2010059362A1 true WO2010059362A1 (fr) 2010-05-27

Family

ID=42130290

Family Applications (3)

Application Number Title Priority Date Filing Date
PCT/US2009/062330 WO2010056521A1 (fr) 2008-10-30 2009-10-28 Dispositifs électroniques comprenant des pellicules à base de carbone, et procédés de formation de tels dispositifs
PCT/US2009/062507 WO2010059362A1 (fr) 2008-10-30 2009-10-29 Dispositifs électroniques comprenant un film de nanotubes de carbone recouvert d'une couche à base de nitrure de bore, et procédés de fabrication associés
PCT/US2009/062532 WO2010059368A1 (fr) 2008-10-30 2009-10-29 Dispositifs électroniques comprenant un film de nanotubes de carbone recouvert d'une couche à base de carbone, et procédés de fabrication associés

Family Applications Before (1)

Application Number Title Priority Date Filing Date
PCT/US2009/062330 WO2010056521A1 (fr) 2008-10-30 2009-10-28 Dispositifs électroniques comprenant des pellicules à base de carbone, et procédés de formation de tels dispositifs

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/US2009/062532 WO2010059368A1 (fr) 2008-10-30 2009-10-29 Dispositifs électroniques comprenant un film de nanotubes de carbone recouvert d'une couche à base de carbone, et procédés de fabrication associés

Country Status (3)

Country Link
US (1) US20100108976A1 (fr)
TW (3) TW201027670A (fr)
WO (3) WO2010056521A1 (fr)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8133793B2 (en) * 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US8183121B2 (en) 2009-03-31 2012-05-22 Sandisk 3D Llc Carbon-based films, and methods of forming the same, having dielectric filler material and exhibiting reduced thermal resistance
US8551855B2 (en) * 2009-10-23 2013-10-08 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8481396B2 (en) * 2009-10-23 2013-07-09 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8551850B2 (en) 2009-12-07 2013-10-08 Sandisk 3D Llc Methods of forming a reversible resistance-switching metal-insulator-metal structure
US8389375B2 (en) * 2010-02-11 2013-03-05 Sandisk 3D Llc Memory cell formed using a recess and methods for forming the same
US8237146B2 (en) * 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
US20110210306A1 (en) * 2010-02-26 2011-09-01 Yubao Li Memory cell that includes a carbon-based memory element and methods of forming the same
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
US8436447B2 (en) * 2010-04-23 2013-05-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US20110278529A1 (en) * 2010-05-14 2011-11-17 Huiwen Xu Memory employing diamond-like carbon resistivity-switchable material and methods of forming the same
JP2012019191A (ja) * 2010-06-10 2012-01-26 Toshiba Corp 不揮発性記憶装置の製造方法
US9583538B2 (en) 2013-02-28 2017-02-28 Kabushiki Kaisha Toshiba Semiconductor memory device having crossing interconnects separated by stacked films
US9406888B2 (en) 2013-08-07 2016-08-02 GlobalFoundries, Inc. Carbon nanotube device
US20150171321A1 (en) * 2013-12-13 2015-06-18 Micron Technology, Inc. Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces
US9923139B2 (en) * 2016-03-11 2018-03-20 Micron Technology, Inc. Conductive hard mask for memory device formation
US10355206B2 (en) * 2017-02-06 2019-07-16 Nantero, Inc. Sealed resistive change elements
US20180267296A1 (en) * 2017-03-20 2018-09-20 Delphi Technologies, Inc. Electrically conductive polymer film
US10714536B2 (en) 2018-10-23 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form memory cells separated by a void-free dielectric structure
US11264474B1 (en) * 2020-08-18 2022-03-01 Nanya Technology Corporation Semiconductor device with boron nitride layer and method for fabricating the same
KR20230048187A (ko) * 2021-10-01 2023-04-11 삼성전자주식회사 가변 저항 메모리 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6420092B1 (en) * 1999-07-14 2002-07-16 Cheng-Jer Yang Low dielectric constant nanotube
EP1361608A2 (fr) * 2002-05-10 2003-11-12 Texas Instruments Incorporated Formation d'une connexion electrique entre une région active et une couche conductrice dans un dispositif semi-conducteur en utilisant des nanotubes de carbone
WO2005045871A1 (fr) * 2003-11-10 2005-05-19 Iljin Diamond Co., Ltd Dispositif a effet de champ pourvu d'une couche de revetement et procede de fabrication associe
US20070221998A1 (en) * 2006-03-22 2007-09-27 Park Hee-Sook Semiconductor integrated circuit device and related method
US20080239790A1 (en) * 2007-03-27 2008-10-02 Herner S Brad Method to form a memory cell comprising a carbon nanotube fabric element and a steering element

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5629666A (en) * 1994-05-23 1997-05-13 Kabushiki Kaisha Toshiba Power resistor, method of manufacturing the same, and power circuit breaker
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
JP2002518280A (ja) * 1998-06-19 2002-06-25 ザ・リサーチ・ファウンデーション・オブ・ステイト・ユニバーシティ・オブ・ニューヨーク 整列した自立炭素ナノチューブおよびその合成
US6333016B1 (en) * 1999-06-02 2001-12-25 The Board Of Regents Of The University Of Oklahoma Method of producing carbon nanotubes
US20050148271A1 (en) * 2000-02-25 2005-07-07 Si Diamond Technology, Inc. Nanotubes cold cathode
KR100376768B1 (ko) * 2000-08-23 2003-03-19 한국과학기술연구원 전자, 스핀 및 광소자 응용을 위한 탄소나노튜브의 선택적 수평성장 방법
US7563715B2 (en) * 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US20030222560A1 (en) * 2001-05-22 2003-12-04 Roach David Herbert Catalytically grown carbon fiber field emitters and field emitter cathodes made therefrom
US6919592B2 (en) * 2001-07-25 2005-07-19 Nantero, Inc. Electromechanical memory array using nanotube ribbons and method for making same
DE60130586T2 (de) * 2001-08-13 2008-06-19 Advanced Micro Devices, Inc., Sunnyvale Speicherzelle
US7390726B1 (en) * 2001-10-02 2008-06-24 Actel Corporation Switching ratio and on-state resistance of an antifuse programmed below 5 mA and having a Ta or TaN barrier metal layer
US6566700B2 (en) * 2001-10-11 2003-05-20 Ovonyx, Inc. Carbon-containing interfacial layer for phase-change memory
US6885021B2 (en) * 2001-12-31 2005-04-26 Ovonyx, Inc. Adhesion layer for a polymer memory device and method therefor
JP4404961B2 (ja) * 2002-01-08 2010-01-27 双葉電子工業株式会社 カーボンナノ繊維の製造方法。
EP1578599A4 (fr) * 2002-08-01 2008-07-02 Oregon State Procede de synthese de structures nanometriques a des emplacements definis
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US7285464B2 (en) * 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US8637366B2 (en) * 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
AU2003296988A1 (en) * 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7560136B2 (en) * 2003-01-13 2009-07-14 Nantero, Inc. Methods of using thin metal layers to make carbon nanotube films, layers, fabrics, ribbons, elements and articles
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7618300B2 (en) * 2003-12-24 2009-11-17 Duke University Method of synthesizing small-diameter carbon nanotubes with electron field emission properties
WO2005093840A1 (fr) * 2004-03-26 2005-10-06 Central Research Institute Of Electric Power Industry Procédé de fabrication d'un dispositif de semi-conducteur de type jonction de schottky
US6969651B1 (en) * 2004-03-26 2005-11-29 Lsi Logic Corporation Layout design and process to form nanotube cell for nanotube memory applications
US7288784B2 (en) * 2004-08-19 2007-10-30 Micron Technology, Inc. Structure for amorphous carbon based non-volatile memory
US7345296B2 (en) * 2004-09-16 2008-03-18 Atomate Corporation Nanotube transistor and rectifying devices
US20060097342A1 (en) * 2004-11-08 2006-05-11 Ward Parkinson Programmable matrix array with phase-change material
US7084062B1 (en) * 2005-01-12 2006-08-01 Advanced Micro Devices, Inc. Use of Ta-capped metal line to improve formation of memory element films
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
DE102005018096B3 (de) * 2005-04-19 2007-01-11 Infineon Technologies Ag Herstellungsverfahren für ein nichtflüchtiges Speicherelement basierend auf zwei stabilen Widerstandszuständen in organischen Molekülen
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7361586B2 (en) * 2005-07-01 2008-04-22 Spansion Llc Preamorphization to minimize void formation
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7615432B2 (en) * 2005-11-02 2009-11-10 Samsung Electronics Co., Ltd. HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
DE102005056262A1 (de) * 2005-11-25 2007-05-31 Infineon Technologies Ag Verfahren zum Herstellen einer Schichtanordnung, Verfahren zum Herstellen eines elektrischen Bauelementes, Schichtanordnung und elektrisches Bauelement
US7385839B2 (en) * 2005-12-01 2008-06-10 International Business Machines Corporation Memory devices using carbon nanotube (CNT) technologies
US7767515B2 (en) * 2006-02-27 2010-08-03 Synopsys, Inc. Managing integrated circuit stress using stress adjustment trenches
US7646622B2 (en) * 2006-03-23 2010-01-12 Toshiba America Research, Inc. Memory based computation systems and methods of using the same
US7763552B2 (en) * 2006-04-28 2010-07-27 Hewlett-Packard Development Company, L.P. Method of interconnect formation using focused beams
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7575984B2 (en) * 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
US20080026523A1 (en) * 2006-07-28 2008-01-31 Chartered Semiconductor Manufacturing, Ltd And International Business Machines Corporation (Ibm) Structure and method to implement dual stressor layers with improved silicide control
US8030637B2 (en) * 2006-08-25 2011-10-04 Qimonda Ag Memory element using reversible switching between SP2 and SP3 hybridized carbon
EP1892722A1 (fr) * 2006-08-25 2008-02-27 Infineon Technologies AG Eléments de stockage d'informations et leurs procédés de fabrication
JP2008053494A (ja) * 2006-08-25 2008-03-06 Elpida Memory Inc 半導体装置及びその製造方法
US20080102278A1 (en) * 2006-10-27 2008-05-01 Franz Kreupl Carbon filament memory and method for fabrication
US7902086B2 (en) * 2006-12-08 2011-03-08 Spansion Llc Prevention of oxidation of carrier ions to improve memory retention properties of polymer memory cell
KR100851548B1 (ko) * 2007-01-23 2008-08-11 삼성전자주식회사 상변화 기억 소자 및 그 형성 방법
US7790560B2 (en) * 2007-03-12 2010-09-07 Board Of Regents Of The Nevada System Of Higher Education Construction of flash memory chips and circuits from ordered nanoparticles
US8158968B2 (en) * 2007-03-21 2012-04-17 Intel Corporation Methods of forming carbon nanotubes architectures and composites with high electrical and thermal conductivities and structures formed thereby
US7982209B2 (en) * 2007-03-27 2011-07-19 Sandisk 3D Llc Memory cell comprising a carbon nanotube fabric element and a steering element
US20080237733A1 (en) * 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
KR100888617B1 (ko) * 2007-06-15 2009-03-17 삼성전자주식회사 상변화 메모리 장치 및 그 형성 방법
KR20100042644A (ko) * 2007-07-13 2010-04-26 어플라이드 머티어리얼스, 인코포레이티드 보론 유도 물질 증착 방법
US20090166610A1 (en) * 2007-12-31 2009-07-02 April Schricker Memory cell with planarized carbon nanotube layer and methods of forming the same
US8236623B2 (en) * 2007-12-31 2012-08-07 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
US8558220B2 (en) * 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US7768016B2 (en) * 2008-02-11 2010-08-03 Qimonda Ag Carbon diode array for resistivity changing memories
US8269208B2 (en) * 2008-03-07 2012-09-18 Ovonyx, Inc. Memory device
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8445385B2 (en) * 2008-04-11 2013-05-21 Sandisk 3D Llc Methods for etching carbon nano-tube films for use in non-volatile memories
US8133793B2 (en) * 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US8476686B2 (en) * 2008-07-09 2013-07-02 Infineon Technologies Ag Memory device and method for making same
US8309407B2 (en) * 2008-07-15 2012-11-13 Sandisk 3D Llc Electronic devices including carbon-based films having sidewall liners, and methods of forming such devices
US20100032639A1 (en) * 2008-08-07 2010-02-11 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
JP2012507150A (ja) * 2008-10-23 2012-03-22 サンディスク スリーディー,エルエルシー 低減された層間剥離特性を示す炭素系メモリ素子およびその形成方法
KR20100052080A (ko) * 2008-11-10 2010-05-19 주식회사 하이닉스반도체 저항성 메모리 소자 및 그 제조 방법
US8183121B2 (en) * 2009-03-31 2012-05-22 Sandisk 3D Llc Carbon-based films, and methods of forming the same, having dielectric filler material and exhibiting reduced thermal resistance
US8471360B2 (en) * 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6420092B1 (en) * 1999-07-14 2002-07-16 Cheng-Jer Yang Low dielectric constant nanotube
EP1361608A2 (fr) * 2002-05-10 2003-11-12 Texas Instruments Incorporated Formation d'une connexion electrique entre une région active et une couche conductrice dans un dispositif semi-conducteur en utilisant des nanotubes de carbone
WO2005045871A1 (fr) * 2003-11-10 2005-05-19 Iljin Diamond Co., Ltd Dispositif a effet de champ pourvu d'une couche de revetement et procede de fabrication associe
US20070221998A1 (en) * 2006-03-22 2007-09-27 Park Hee-Sook Semiconductor integrated circuit device and related method
US20080239790A1 (en) * 2007-03-27 2008-10-02 Herner S Brad Method to form a memory cell comprising a carbon nanotube fabric element and a steering element

Also Published As

Publication number Publication date
TW201027671A (en) 2010-07-16
TW201027672A (en) 2010-07-16
TW201027670A (en) 2010-07-16
WO2010056521A1 (fr) 2010-05-20
US20100108976A1 (en) 2010-05-06
WO2010059368A1 (fr) 2010-05-27

Similar Documents

Publication Publication Date Title
US8421050B2 (en) Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US8835892B2 (en) Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
WO2010059362A1 (fr) Dispositifs électroniques comprenant un film de nanotubes de carbone recouvert d'une couche à base de nitrure de bore, et procédés de fabrication associés
EP2263252B1 (fr) Procédés pour graver des films de nanotube de carbone destinés à être utilisés dans des mémoires non volatiles
US8309407B2 (en) Electronic devices including carbon-based films having sidewall liners, and methods of forming such devices
US8467224B2 (en) Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
US8569730B2 (en) Carbon-based interface layer for a memory device and methods of forming the same
US8466044B2 (en) Memory cell that includes a carbon-based memory element and methods forming the same
US20100012914A1 (en) Carbon-based resistivity-switching materials and methods of forming the same
US8633528B2 (en) Methods and apparatus for increasing memory density using diode layer sharing
US8436447B2 (en) Memory cell that includes a carbon-based memory element and methods of forming the same
WO2010117640A2 (fr) Films à base de carbone comportant une matière de charge diélectrique et présentant une résistance thermique réduite, et leurs procédés de fabrication
KR20110050422A (ko) 탄소계 저항률 스위칭 물질과 이를 형성하는 방법
US8551850B2 (en) Methods of forming a reversible resistance-switching metal-insulator-metal structure
WO2010019794A1 (fr) Procédés d'intégration de films de carbone dans des mémoires bidimensionnelles et tridimensionnelles et mémoires formées à partir de ceux-ci
US20110210306A1 (en) Memory cell that includes a carbon-based memory element and methods of forming the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09748619

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09748619

Country of ref document: EP

Kind code of ref document: A1