WO2007043205A1 - Unite d'irradiation, procede d'irradiation et dispositif semi-conducteur - Google Patents

Unite d'irradiation, procede d'irradiation et dispositif semi-conducteur Download PDF

Info

Publication number
WO2007043205A1
WO2007043205A1 PCT/JP2006/308543 JP2006308543W WO2007043205A1 WO 2007043205 A1 WO2007043205 A1 WO 2007043205A1 JP 2006308543 W JP2006308543 W JP 2006308543W WO 2007043205 A1 WO2007043205 A1 WO 2007043205A1
Authority
WO
WIPO (PCT)
Prior art keywords
wavelength
film
insulating film
light
irradiation
Prior art date
Application number
PCT/JP2006/308543
Other languages
English (en)
Japanese (ja)
Inventor
Yoshimi Shioya
Original Assignee
Yatabe Massao
Okumura Masaru
Yoshimi Shioya
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yatabe Massao, Okumura Masaru, Yoshimi Shioya filed Critical Yatabe Massao
Priority to JP2007539812A priority Critical patent/JPWO2007043205A1/ja
Publication of WO2007043205A1 publication Critical patent/WO2007043205A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si

Definitions

  • Irradiation apparatus Irradiation apparatus, irradiation method, and semiconductor device
  • the present invention relates to an irradiation apparatus, an irradiation method, and a semiconductor device.
  • a semiconductor device includes various insulating films.
  • These insulating films include an IC interlayer insulating film (for example, a low dielectric constant film (hereinafter referred to as “Low-k film”), a noria insulating film of a wiring material formed between wirings, and a high dielectric constant.
  • Gate insulation film hereinafter referred to as “High-k film”.
  • SiN, SiON, SiOCH, SiOCNH, SiCH, SiCNH, SiOCF, SiCF, etc. are used as the material of the insulation film .
  • Low-k films are required to have a low dielectric constant and high mechanical strength.
  • One way to achieve a low dielectric constant is to perform a thermal annealing on the low-k film.
  • One method for realizing high mechanical strength is to perform ultraviolet light irradiation treatment as described in Patent Document 1.
  • the thermal annealing treatment is required to be performed at a temperature of 400 ° C or higher for 30 minutes or longer.
  • the ultraviolet light irradiation treatment is required to irradiate ultraviolet light having a wavelength of 200 nm or less.
  • the barrier insulating film is required to be uniform and highly dense, but there is also a demand for a thin film.
  • the high-k film (HfO film) is dense and can cause leakage current to flow.
  • annealing treatment after high-k film formation is important.
  • a high-k film has been formed by metal organic chemical vapor deposition (MOCVD) or the like. Specifically, prior to formation of the high-k film, heating is performed at a temperature of 425 ° C. while supplying O gas onto the silicon.
  • MOCVD metal organic chemical vapor deposition
  • a boundary layer is formed by metalorganic chemical vapor deposition at a temperature of 450 ° C to 550 ° C. After that, at a temperature of 700 ° C to 900 ° C, N
  • Non-patent Documents 1 and 2 By supplying N 2 / O 2 2 or NH gas, the Si—O bond silicon in the high-k film is replaced with nitrogen. Perform SiN (Nation) to form SiN bond. Further, annealing is performed in argon (Ar) (Non-patent Documents 1 and 2).
  • Patent Document 1 JP 2004-356508 A
  • Non-Patent Document 1 IEEE Electron Devices 52, pl839 (2005).
  • Non-Patent Document 2 The Electrochemical Society Interface, Summer 2005, p30 (2005). Disclosure of the Invention
  • the low-k film has a problem in that although the mechanical strength is improved, the dielectric constant is also increased.
  • the Young's modulus which is the mechanical strength, becomes 8 GPa.
  • the dielectric constant is 2.6. More than that.
  • the thermal annealing treatment is performed at a temperature as high as 400 ° C for 30 minutes or more.
  • wiring materials such as copper (Cu) used in semiconductor devices are used.
  • the force ow— diffuses into the k film and increases the leakage current between wires.
  • the thermal annealing process takes more than 30 minutes, while other manufacturing processes for semiconductor devices take about 5 minutes. Therefore, when the thermal annealing process is performed, there is a problem that the manufacturing throughput of the semiconductor device is lowered.
  • an object of the present invention is to provide a semiconductor manufacturing apparatus capable of modifying an insulating film.
  • the irradiation apparatus of the present invention includes a first irradiation unit that irradiates the insulating film with ultraviolet light having a first wavelength;
  • Second irradiating means for irradiating the insulating film with ultraviolet light or visible light having a second wavelength different from the first wavelength.
  • one of the lights is light having a wavelength equal to or less than a wavelength necessary for cutting a bonding group that is not in a stable state in the insulating film, and the other of the lights Is light with a wavelength longer than the absorption edge.
  • the insulating film is an inter-wiring insulating film or a barrier insulating film
  • one of the lights is light having a wavelength equal to or shorter than a wavelength necessary for cutting a bonding group in the insulating film.
  • the other is light having a wavelength longer than the absorption edge.
  • one of the lights is light having a wavelength less than a wavelength necessary for the transition metal oxide or a wavelength necessary for breaking the CH bond.
  • the other of the lights is light having a wavelength longer than the absorption edge.
  • a semiconductor manufacturing apparatus of the present invention includes the irradiation apparatus and a transfer apparatus that transfers a wafer having the insulating film.
  • the first and second irradiation means may be provided in the same chamber, or may be provided in different chambers.
  • the semiconductor device of the present invention when manufactured by a chemical vapor deposition apparatus, the semiconductor device includes an insulating film having a dielectric constant of 2.4 or less and a Young's modulus of 5 GPa or more.
  • the semiconductor device of the present invention When the semiconductor device of the present invention is manufactured by a semiconductor device spin coating film forming apparatus, the semiconductor device includes an insulating film having a dielectric constant of 2.3 or less and a Young's modulus of 6 GPa or more.
  • the irradiation method of the present invention includes a first irradiation step of irradiating the insulating film with ultraviolet light having a first wavelength
  • FIG. 1 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to Embodiment 1 of the present invention.
  • an apparatus for modifying a low-k film will be mainly described.
  • FIG. 1 shows a hoop 41 that accommodates a wafer, a wafer alignment 42 that positions a wafer taken out from the hoop 41, and a mouth-drop chamber that is a decompression chamber having a load lock mechanism. 43, a first chamber 1 that irradiates a wafer with relatively long wavelength light, a second chamber 2 that irradiates a wafer with relatively short wavelength light, and a low-lock chamber 43 And a transfer chamber 44 having a robot arm for transferring a wafer between the first chamber 11 and the second chamber 1.
  • FIG. 2 is a schematic configuration diagram of the first chamber 11 in FIG. Fig. 2 shows a number of light beams with a wavelength of 300 nm or more, such as a high-pressure mercury lamp determined by the material of the low-k film, or a wavelength of 400 nm or more and 770 nm or less, such as a halogen lamp Four lamps 3, quartz pipes 4 that protect each lamp 3 from stress applied during decompression and prevent oxygen from contacting each lamp 3, and nitrogen (N ) Inert gas such as gas 5 and semiconductor device covered with an insulator
  • a nozzle 14 provided between the pipes 11 and 12 and the gas tank, and a mass flow 13 for measuring the gas flow rate through the pipes 11 and 12 and controlling the opening and closing of the valve 14 according to the measurement results are shown. Yes. If necessary, an inert gas other than nitrogen is introduced into the first chamber 11. Make it available.
  • the configuration of the second chamber 12 is the same as that of the first chamber 11, but a low-pressure mercury lamp or an excimer lamp such as Xe, Kr, I, KrBr is used instead of each lamp 3. Yes.
  • the low-pressure mercury lamp has a relatively strong light with a wavelength of 186 nm when the base temperature of the lamp is around 60 ° C, and a relatively strong light with a wavelength of 254 nm when the temperature at the base of the lamp is 0 ° C. Is.
  • a lamp for irradiating light of the same wavelength may be provided in both the first chamber 11 and the second chamber 12.
  • the wafer 7 processed by the semiconductor manufacturing apparatus shown in FIG. 1 has a heating time twice that of the conventional one, so that the mechanical strength of the insulating film is increased. This is because an effect is obtained.
  • a visible light lamp, a xenon lamp, an argon laser, or a carbon dioxide gas laser can be used.
  • an excimer laser such as XeF, XeCl, XeBr, KrF, KrCl, ArF, or ArCl can be used for the lamp in the second chamber 12.
  • the lamp 3 needs to be able to irradiate light having a wavelength of 770 nm or less, that is, visible light, in order to cut a bonding group that is not in a stable state in the insulating film.
  • FIG. 3 is a diagram showing the relationship between the wavelength of irradiation light and the binding energy of a substance.
  • the horizontal axis in Fig. 3 is the wavelength (nm), and the vertical axis is the binding energy (eV).
  • SiOCH, SiCF, etc. can be used for the low-k film material, and SiN, SiOCH, SiON, SiOC NH, SiCNH film, etc. can be used for the Cu barrier film.
  • the bonding group When light having a wavelength of a little over nm is irradiated, the bonding group is cleaved. Therefore, when the SiOCH film is used as the insulating film, the bonding group can be cleaved by irradiating light with a wavelength of 350 nm or less.
  • the SiN film has N—H bonds and Si—H bonds. In these, the bonding group is cleaved when irradiated with light having wavelengths of about 3 OOnm and 400 nm, respectively. Therefore, when the SiN film is used as the insulating film, the above bonding group can be cleaved by irradiating light with a wavelength of 400 nm or less.
  • the present inventor has found that the dielectric constant of the low-k film can be lowered by reducing hydrogen components, fluorine components, and the like in an unstable bonding state in the low-k film. .
  • the present inventor has found that the inter-wiring insulating film and the like can be made uniform and high in density by cutting the hydrogen bonding group of the inter-wiring insulating film or the barrier insulating film. Furthermore, the present inventor irradiates the high-k film with light having a wavelength shorter than that required for the transition metal oxide or the wavelength necessary for breaking the C—H bond. UV annealing is performed in an inert gas atmosphere containing about 1 to 2%, preferably 1% or less of inert gas or O gas.
  • the high-k film can be made dense and the leakage current becomes a flow.
  • the insulating film can be modified to a state where the required conditions are cleared.
  • FIG. 4 is a diagram showing the relationship among the wavelength of irradiation light, the absorption edge, and the binding energy.
  • the horizontal axis is the wavelength (nm)
  • the left vertical axis is the absorption edge (eV)
  • the right vertical axis is the binding energy (eV).
  • the wavelength corresponding to the absorption edge of the SiO film is 156 nm. Therefore, the SiON film
  • the light When light with a wavelength of 156 nm or more is irradiated, the light enters the film, and as a result, the light is absorbed by the structure (bonding skeleton) in the film, increasing the density of the SiO film or SiON film, and mechanically. Strong
  • the degree becomes higher.
  • the wavelength corresponding to the absorption edge / absorption edge of SiN is 275.6 nm, when the SiN film is irradiated with light having a wavelength of 275.6 nm or more, the density of the SiN film is improved, or a hydrogen component, etc. Is removed.
  • FIG. 5 is a schematic cross-sectional view of a part of the wafer 7 shown in FIG. FIG. 5 shows a wiring layer 31 for transmitting signals in the semiconductor device, and a wiring layer 31 formed on the wiring layer 31!
  • a barrier insulating film 32 that barriers against leakage of water, and a low-k film 33 that is formed on the NORI-insulating film 32 and insulates the layer formed on the low-k film itself in a later step. Is shown.
  • the wiring layer 31 is made of Cu or the like, and has a thickness of about 200 to 300 nm.
  • the barrier one insulating film 32 is selected from materials such as SiOC, SiCH, SiOCH, and SiOCNH, and has a thickness of about 20 to 30 nm.
  • the low-k film 33 is made of SiOCH or the like and has a thickness of about 200 to 300 nm.
  • the procedure for the modification process of the low-k film 33 will be described by taking the wafer 7 selected as the SiOCH film power low-k film 33 as an example.
  • the CVD apparatus power in a clean room (not shown) is also transported while being accommodated in the hoop 41. Thereafter, the wafer is taken out from the hoop 41 and transferred to the wafer alignment 42 side.
  • the wafer alignment 42 the wafer is positioned. Thereafter, the wafer 7 is transferred to the load lock chamber 43 before being transferred to the first chamber 11.
  • the wafer 7 is transferred into the transfer chamber 44. Subsequently, the wafer 7 is transferred from the load lock chamber 43 to the first chamber 11 by the robot arm in the transfer arch yanber 44.
  • the wafer 7 is placed on the pins 8 protruding above the heater 6. Thereafter, the heater 6 is raised, and the wafer 7 placed on the pin 8 comes into direct contact with the heater 6. Then, prior to the irradiation of the light from the lamp 3, the wafer 7 is heated by heating at a heater 8 [thereby, f line, approximately 90 times f3 ⁇ 4, 350-400 ° C].
  • the inside of the first chamber 11 is evacuated by an evacuation means (not shown), and the valve 14 on the nitrogen gas side is opened by the mass flow 13 so that the inside of the first chamber 1 is in a nitrogen atmosphere.
  • the above heating is performed under the condition that the inside of the first chamber 11 becomes, for example, lTorr.
  • the opening / closing control of the nozzle 14 is controlled by the amount of nitrogen gas supplied to the first chamber 11 as an example. For example, it is performed under the condition of lOOccZ.
  • the inside of the first chamber 11 may be in a normal pressure state that is not in a reduced pressure state. If necessary, another inert gas may be supplied into the first chamber 11 instead of the N gas.
  • a mixed gas of N gas and other inert gas may be used.
  • the heater 8 is raised in a range where the distance between the wafer 7 and the lamp 3 is, for example, 100 to 200 mm so that the light irradiated from the lamp 3 reaches the wafer 7 without unevenness in intensity. Yes.
  • the illuminance of the lamp 3 is increased continuously or stepwise in a time of about 5 to: L0 seconds.
  • the increase in illuminance may be, for example, linear, exponential, or another form.
  • the wafer 7 is transferred from the first chamber 11 into the second chamber 2 by the transfer chamber 44.
  • Wafer 7 is processed in the second chamber 12 in the same manner as in the processing in the first chamber 1.
  • the condition for irradiating the wafer 7 from the low-pressure mercury lamp is that the illuminance is 3 mWZcm. 2
  • the irradiation time is 1 to 4 minutes.
  • an increase in the dielectric constant of the low-k film 33 can be suppressed, and the mechanical strength can be increased.
  • the wafer 7 taken out from the second chamber 12 has, for example, a low-k film 33 having a Young's modulus of about 5 GPa or more and a dielectric constant of 2.5 or less.
  • the Young's insulating film 32 has a Young's modulus of about 60 GPa, a dielectric constant of about 4.0, and a density of about 2.5 gZcm 3 .
  • FIG. 6 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to Embodiment 2 of the present invention.
  • FIG. 7 is a schematic configuration diagram of the chamber 15 in FIG. In the present embodiment, the first chamber 1 and the second chamber 1 shown in FIG.
  • the chamber 15 includes a plurality of (for example, five) lamps 3 and a plurality of (for example, four) lamps 21.
  • the distance between the lamp 21 and the wafer 7 is about 100 mm when the chamber 15 is used.
  • the distance between the lamp 3 and the wafer 7 is about 120 mm.
  • the number of the lamps 3 and the low-pressure mercury lamps 21 may be the same, or the lamps 3 and 21 may be arranged two-dimensionally.
  • the wafer 7 may be irradiated with ultraviolet rays first from either the lamp 3 or the lamp 21.
  • the dielectric constant of the low-k film 33 could not be lowered and the mechanical strength could not be improved even when irradiated simultaneously.
  • the semiconductor device manufacturing process is the same as that in the first embodiment.
  • the respective irradiation times of the lamp 3 and the lamp 21 may be the same as those in the first embodiment. Under these conditions, the heating time of the wafer 7 before irradiation is 1 minute, the total irradiation time is 5 minutes, and the cleaning time is 1 minute. None to do! /
  • the processing of the low-k film 33 has been mainly described.
  • a process for increasing the stress of the SiN film of the strained silicon device will be described.
  • a technique using an insulating film in a semiconductor device is a strained silicon technique.
  • Strained silicon technology uses silicon germanium (SiGe) layers at the source and drain to increase the density of electrons and makes use of the property that the lattice of silicon atoms in the channel region under the gate tends to align with each other. This is a technology that increases the mobility of electrons by increasing the distance between the electrodes, reducing the collision of electrons and silicon atoms, which are responsible for source-drain current.
  • SiGe silicon germanium
  • the semiconductor manufacturing apparatus shown in FIG. 1 or 6 can also be used.
  • the lamp 3 an I lamp that irradiates light with a wavelength of 341 nm, for example,
  • an XeBr lamp that irradiates light with a wavelength of 282 nm or an XeCl lamp that irradiates light with a wavelength of 308 nm, for example, is used.
  • hydrogen is desorbed from the SiN film by the irradiation light from the I lamp, and the
  • the stress on the SiN film is increased by the irradiation light from the XeBr lamp.
  • FIG. 8 is a schematic cross-sectional view of a part of the wafer 7 shown in FIG. FIG. 8 shows a P-type silicon layer 51, an N-type region 52 formed in the P-type silicon layer 51, and a source region 53 and a drain region such as SiGe formed in the N-type region 52. 54, a gate insulating film 62 formed on the N-type well region 52, a gate electrode 55 formed on the gate insulating film 62, and a source region 58 and drain such as SiGe formed in the P-type silicon layer 51.
  • membrane 56, 61 On membrane 56, 61
  • a SiN film 57 to be a formed sidewall is shown.
  • the transistor on the source region 53 and drain region 54 side is a P-channel transistor, and the transistor on the source region 58 and drain region 59 side is an N-channel transistor.
  • Such a wafer 7 is formed by a diffusion furnace, an ion implantation apparatus, and a chemical vapor deposition system (CVD) apparatus.
  • This wafer 7 has a hydrogen component, etc. in the SiN film 57 due to the irradiation light from the I lamp.
  • the remaining hydrogen in the SiN film 57 is further removed by the irradiation light from the XeBr lamp, and the SiN film 57 is almost completely free of hydrogen. As a result, the mechanical strength of the SiN film 57 is increased.
  • FIG. 9 is a schematic cross-sectional view after removing a part of the SiN film 57 of the wafer 7 shown in FIG. After the above light irradiation treatment, the P channel transistor side of the SiN film 57 is removed. Thus Create strained silicon devices.
  • the hydrogen concentration of the SiN cover insulating film can also be reduced, and the gate caused by hydrogen in the DRAM cover film can be reduced. Toe drain leakage current can be reduced and retention defects can be reduced.
  • FIG. 10 is a schematic configuration diagram of the first chamber 11 according to the fourth embodiment of the present invention.
  • This first chamber 11 is suitable when a halogen lamp having a wavelength of 400 nm or more is used.
  • the cooling water 2 is used to cool the halogen lamp 3.
  • the halogen lamp 3 removes hydrogen by heating the insulating film on the Si wafer in a short time by the light of the lamp.
  • UV light is irradiated from a 308 nm XeCl lamp in the second chamber 12 to increase the stress.
  • FIG. 11 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to Embodiment 5 of the present invention. Here, an example in which a low-k film is formed using an SOD film will be described.
  • an SOD film is applied, for example, to 500 nm on a wiring formed on a wafer having a thickness of 300 ⁇ m.
  • the wafer is transferred to a chamber 102 having a beta stage for blowing off the solvent of the SOD film, and the solvent is blown off by performing beta at a temperature of about 200 ° C.
  • the wafer is then transferred to a chamber 103 equipped with a cure stage to drive off solvent and porogen or to harden the film, and a beta for 5 minutes at a temperature of about 400 ° C. Do.
  • the film is densified, for example, by blowing off the solvent or porogen in the SOD film.
  • the same processing as in the first embodiment is performed.
  • the low-k film has a dielectric constant of 2.3 or less and a Young's modulus of 6 GPa or more.
  • FIG. 12 is a schematic cross-sectional view of a part of a wafer 7 that is a semiconductor device according to Embodiment 6 of the present invention.
  • UV annealing is applied to the high-k film 73 in wafer 7. explain about.
  • This wafer 7 is formed on a silicon wafer 71, for example, a lnm-thick SiO-rich boundary layer 7
  • a high-k film 73 having a force such as HfO is provided on the boundary layer 72.
  • an electrode 74 having a force such as polysilicon is formed on the high-k film 73.
  • the high-k film 73 is formed by supplying N gas ZO gas for about 10 minutes at a temperature of 800 ° C., for example.
  • light is irradiated for about 2 to 4 minutes at an illuminance of about 5 to 15 mWZcm 2 from four XeC 1 lamps having a wavelength of about 308 nm separated from the wafer by 100 to 200 mm.
  • the first chamber 1 and the second chamber 1 are various inert gas atmospheres including a reduced pressure state with a pressure of about lTorr, a temperature of about 500 ° C, and nitrogen gas.
  • the cleaning is performed by supplying an oxygen gas supply amount at a rate of, for example, 100 ccZ under a reduced pressure of about lTorr and turning on the UV lamp. After that, for example, the forming gas (N gas ZH gas) treatment is performed at 425 ° C for about 30 minutes.
  • an oxygen gas supply amount at a rate of, for example, 100 ccZ under a reduced pressure of about lTorr and turning on the UV lamp.
  • the forming gas (N gas ZH gas) treatment is performed at 425 ° C for about 30 minutes.
  • the charge density in the boundary layer 72 can be reduced to 1 ⁇ 10 12 Zcm 3, and the leakage current of the HfO film can also be reduced.
  • bonding groups related to hydrogen such as H-N and H-Si.
  • the wavelengths required to cleave these bonding groups are 353 nm and 399 nm, respectively. Also, about 240 nm is the wavelength corresponding to the absorption edge. Therefore, when the SiN film is irradiated with light having a wavelength of 180 nm or more and 400 nm or less, the mechanical strength of the insulating film can be increased and the dielectric constant can be decreased.
  • bonding groups related to hydrogen such as H—N, C—H, and H—Si.
  • the wavelengths required to cleave these linking groups are 353 nm, 353 nm, and 399 nm, respectively. Moreover, about 265 nm is a wavelength corresponding to the absorption edge. For these reasons, when the SiC H film is irradiated with light having a wavelength of 180 nm or more and 400 nm or less, the mechanical strength of the insulating film can be increased and the dielectric constant can be decreased.
  • a SiOCNH film there are hydrogen-related bonding groups such as H-0, H-N, C-H, and H-Si.
  • the wavelengths necessary to cleave these bonding groups are 280 ⁇ m, 353nm, 353nm, and 399nm, respectively.
  • the wavelength corresponding to the absorption edge is about 156 to 263 nm, but the wavelength corresponding to the absorption edge is considered to be about 180 nm considering that the concentration of C and N is more than a few percent. Therefore, when the SiOCNH film is irradiated with light having a wavelength of 180 nm or more and 400 nm or less, the mechanical strength of the insulating film can be increased and the dielectric constant can be decreased.
  • the SiOCH film there are bonding groups related to hydrogen such as H-0, H-N, C-H, H-Si.
  • the wavelengths necessary for cleaving these bonding groups are 280 nm, 353 nm, 353 nm, and 399 nm, respectively.
  • the wavelength corresponding to the absorption edge is about 156 nm.
  • FIG. 17 is a schematic configuration diagram of the prevention ring 8A for preventing the positional deviation of the wafer 7 provided in the first chamber 11 and the second chamber 12. As shown in FIG. FIG. 17 also shows the wafer 7 and the heater 6 described above!
  • the first chamber 1 and the second chamber 1 according to Embodiment 8 of the present invention prevent the wafer 7 from being misaligned due to static electricity.
  • the prevention ring 8A may be a static elimination ring.
  • the prevention ring 8A is used on the heater 6 so as to surround the periphery of the wafer 7.
  • the chamber 1 is provided with a sensor for detecting this positional deviation. Therefore, when the positional deviation exceeds a predetermined amount, the sensor reacts to stop the manufacturing process. As a result, continuous processing cannot be performed, and manufacturing throughput is reduced.
  • the prevention ring 8A is provided in the first chamber 11 and the second chamber 12 so that the sensor does not react even if the wafer 7 is displaced, thereby preventing the wafer 7 from being attached to the prevention ring 8. It can be stopped by the inner wall of A.
  • the neutralizing ring 8A at least the surface may be made of polysilicon, single crystal silicon, aluminum, or the like.
  • the shape of the static elimination ring 8A is not limited to the mode shown in FIG. 17, and may be, for example, a rectangular parallelepiped or a cuboid.
  • This type of static eliminator may be placed on the heater 6 at a position that does not interfere with the loading and unloading of the wafer 7.
  • FIG. 18 if a plurality of substantially rainbow-shaped neutralization ring pieces 8B are used, the wafer 7 is easily carried into the position surrounded by the static elimination ring pieces 8B. Is unlikely to occur. Whether it is a static elimination body such as a rectangular parallelepiped or the static elimination ring piece 8B, it is easier to create than the static elimination ring 8A.
  • the generated static electricity can be removed, it is not essential to provide the static elimination ring 8A or the like.
  • the static elimination pin may be made of at least a surface such as polysilicon, single crystal silicon, or aluminum.
  • a polysilicon thin film, amorphous silicon thin film, SiN thin film, SiC film, or SiOC film can be formed on the surface of the heater 6 or the like.
  • the thickness of the thin film is not limited. As an example, the thickness of the thin film can be about 500 to 10,000 angstroms.
  • a polysilicon thin film is formed by plasma CVD, sputtering, or low pressure CVD, for example, by applying a high frequency 562 W of 380 KHz to the heater 6 under a substrate temperature surface of 350 ° C and a pressure of 0.6 Torr. Approx. 5,000 to 10,000 angstroms by flowing SiH at 100cc / min
  • SiN thin film is formed by plasma CVD method, sputtering method or low pressure CVD method, for example, applying high frequency 562W of 380KHz to heater 6, substrate temperature surface 350 ° C, pressure 0.6 Torr environment, SiH 100ccZmin
  • a thickness of 3000 to 5000 angstroms can be formed.
  • FIGS. 19 to 21 are views showing a modification of the manufacturing process of the wafer 7 shown in FIGS.
  • a P-channel transistor a transistor on the source region 53 and drain region 54 side of the wafer 7, that is, a P-channel transistor.
  • UV light of low-pressure mercury is irradiated to the P-channel transistor and N-channel transistor for 5 minutes at 400 ° C and illuminance of 14 mWZcm2, for example (Fig. 19).
  • the SiN film 57 on the N-channel transistor side has a tensile stress of about 1.5 GPa. It becomes.
  • the conditions of the ultraviolet light absorbing material are not limited to polysilicon as long as it has a band gap for realizing the absorption and can withstand the heating of about 400 ° C.
  • the polysilicon thin film 64 formed on the P-channel transistor is removed (FIG. 20). As a result, only the SiN film 57 on the N channel transistor side is subjected to tensile stress.
  • the N channel transistor is covered with a thick resist film 65, and N + ions are implanted into the center of the SiN film 57 on the P channel transistor side, for example, at a dose of 5 X 1015 using an ion implanter (Fig. 21). ).
  • the SiN film 57 on the N channel transistor side is protected by the resist film 65, the stress does not change.
  • the SiN film 57 on the P channel transistor side is stressed and becomes about lGPa.
  • the wafer 7 shown in FIG. 8 is obtained.
  • the semiconductor device was actually manufactured through the low-k film 33 treatment under the following conditions.
  • Lamp 1 in the first chamber 3 Four high-pressure mercury lamps with a wavelength of about 300 nm to 770 nm, illumination of about 8 mWZcm 2 , irradiation time of about 4 minutes,
  • Low pressure mercury lamp in the second chamber 4 lamps with wavelengths of about 186 nm and about 254 nm, illuminance of about 3 mWZcm 2 , irradiation time of about 1 minute,
  • 1st chamber 1 and 1st chamber 2 lTorr depressurized condition, temperature of about 400 ° C, various inert gas atmospheres including nitrogen gas, cleaning, and cleaning conditions under lTorr depressurized! / Large oxygen gas supply volume for lOOccZ,
  • Wafer 7 A SiOCH film with a diameter of about 300 mm and a thickness of about 300 nm is formed!
  • the Young's modulus indicating the mechanical strength of the wafer 7 was 8 GPa.
  • the dielectric constant was 2.4.
  • low-k film 33 under the following conditions: Through these processes, a semiconductor device was actually manufactured.
  • Lamp 3 Four high-pressure mercury lamps with a wavelength of about 300 nm to 770 nm, illuminance of about 4 mW / cm 2 , irradiation time of about 4 minutes,
  • Lamp 21 4 low-pressure mercury lamps with wavelengths of about 186 nm and 254 nm, illuminance of about 3 mW / cm 2 , irradiation time of about 1 minute,
  • Chamber 1 lTorr decompression state, temperature is about 250 ° C, various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate is lOOcc / min under cleaning conditions of lTorr decompression,
  • Wafer 7 A SiOCH film with a diameter of about 300 mm and a thickness of about 300 nm is formed!
  • the Young's modulus indicating the mechanical strength of the wafer 7 was 8 GPa.
  • the dielectric constant was 2.4.
  • a semiconductor device was actually manufactured by processing the SiN film 57 under the following conditions using the semiconductor manufacturing apparatus shown in FIG. 1 or FIG.
  • Lamp 1 in chamber 1 3 4 I lamps with a wavelength of about 341 nm, illuminance of about 13 mW
  • Lamp in the second chamber 12 4 XeBr lamps with a wavelength of about 282nm, illuminance of about 13m W / cm 2 , irradiation time of about 2 minutes,
  • 1st chamber l lTorr decompression state, temperature is about 400 ° C, various inert gas atmospheres containing nitrogen gas, and oxygen gas supply amount is lOOccZ for cleaning conditions under reduced pressure of lTorr,
  • Second chamber 1 lTorr decompression state, temperature is about 400 ° C, various inert gas atmospheres containing nitrogen gas, and oxygen gas supply amount is lOOccZ for lTorr under reduced pressure
  • Wafer 7 Diameter is about 300 mm, DRAM is formed, and the cover SiO film has a cover.
  • One SiN film force S is formed with a thickness of about 300 nm.
  • the hydrogen concentration in the cover SiN film 57 can be reduced, the leakage current in the DRAM gate-drain region can be reduced, and the data retention time can be increased.
  • the defective rate could be reduced.
  • a semiconductor device was actually manufactured by processing the SiN film 57 under the following conditions using the semiconductor manufacturing apparatus shown in FIG. 1 or FIG.
  • Lamp 1 in the first chamber 1 4 I lamps with a wavelength of about 341nm, illuminance of about 13mW
  • Lamp in the second chamber 1-2 4 XeCl lamps with a wavelength of about 308nm, illuminance of about 13m W / cm 2 , irradiation time of about 2 minutes,
  • 1st chamber l lTorr decompression state, temperature is about 250 ° C, various inert gas atmospheres containing nitrogen gas, and oxygen gas supply amount is lOOccZ for lTorr under reduced pressure cleaning condition
  • Second chamber 1 lTorr decompression state, temperature is about 350 ° C, various inert gas atmospheres containing nitrogen gas, and oxygen gas supply volume is lOOccZ for cleaning conditions of lTorr decompression,
  • Wafer 7 A diameter of about 300 mm, DRAM is formed, and a sidewall SiN film is formed on the transistor with a thickness of about 300 nm!
  • the tensile stress was 2 X 10 9 dyneZcm 2 before the treatment, whereas 2 X 10 1G dyneZcm 2 was obtained after the treatment. It was tensile stress. As a result, the source / drain current increased.
  • the semiconductor device was actually manufactured through the low-k film 33 treatment under the following conditions.
  • Halogen lamp in the first chamber 4 lamps with a wavelength of about 400 or more and 770 nm or less, illuminance of about 15mWZcm 2 , irradiation time of about 2 minutes,
  • Low pressure mercury lamp in the second chamber 4 lamps with wavelengths of about 186 nm and about 254 nm, illumination intensity of about 3 mWZcm 2 , irradiation time of about 2 minutes,
  • 1st chamber 1 and 1st chamber 2 lTorr depressurized condition, temperature is about 400 ° C, various inert gas atmospheres including nitrogen gas, vacuum, cleaning conditions are lTorr depressurized /! Oxygen gas supply volume for lOOccZ minutes,
  • Wafer 7 A diameter of about 300 mm and a SiOCH film with a thickness of about 300 nm are formed!
  • the Young's modulus indicating the mechanical strength of the wafer 7 was 8 GPa.
  • the dielectric constant was 2.4.
  • the semiconductor device was actually manufactured through the processing of the SOD film 33 under the following conditions.
  • Lamp 1 in the first chamber 1 3 4 XeCl lamps with a wavelength of about 308 nm,
  • Illuminance is about 10mWZcm 2 , irradiation time is about 4 minutes,
  • Lamp in the second chamber 1-2 4 Xe lamps with a wavelength of about 172 nm, illuminance of about 4 mWZ cm 2 , irradiation time of about 1 minute,
  • 1st chamber 1 and 1st chamber 2 lTorr reduced pressure, temperature about 350 ° C, various inert gas atmospheres including nitrogen gas, cleaning, cleaning conditions lTorr reduced pressure! / Large oxygen gas supply volume for lOOccZ,
  • Wafer 7 A 300 mm diameter, SOD film 33 is formed with a thickness of about 300 nm!
  • the Young's modulus indicating the mechanical strength of the wafer 7 was 8 GPa.
  • the dielectric constant was 2.3.
  • the HfO film 33 is
  • Lamp 3 in the first chamber 1 4 XeCl lamps with a wavelength of about 308 nm, illuminance of about 10 mW / cm 2 , irradiation time of about 4 minutes,
  • Lamp in the second chamber 1-2 4 Xe lamps with a wavelength of about 172 nm, illuminance of about 4 mWZ cm 2 , irradiation time of about 1 minute,
  • 1st chamber 1 and 1st chamber 2 lTorr depressurized state, temperature is about 500 ° C, various inert gas atmospheres containing nitrogen gas, cleaning, cleaning conditions are lTorr depressurized! / Large oxygen gas supply volume for lOOccZ,
  • Wafer 7 SiO-rich boundary layer with a diameter of about 300mm and a thickness of about lnm, and on the boundary layer The formed HfO film having a thickness of about 5 nm is formed.
  • the charge density in the boundary layer could be reduced to 1 ⁇ 10 12 Zcm 3 and the leakage current of the HfO film could be reduced.
  • a semiconductor device was actually manufactured using the semiconductor manufacturing apparatus shown in FIG.
  • Lamp 4 KrCl lamps with a wavelength of about 222 nm, illuminance of about 4
  • Chamber 1 lTorr decompression state, temperature power of about 300-400 ° C, various inert gas atmospheres containing nitrogen gas, and oxygen gas supply amount of lOOccZ for cleaning conditions of lTorr decompression,
  • Wafer 7 Diameter is about 300 mm, and as shown in FIG. 13, a SiOC film 22, which is a barrier film having a thickness of about 3 Onm, is formed on the Cu wiring layer 21.
  • the modified SiOC film 22 is subjected to heat treatment at a temperature of about 400 ° C for 3 hours, the SiOC film 22 has a high density, so that almost no leakage current flows from the SiOC film 22. It did not flow.
  • a semiconductor device was actually manufactured using the semiconductor manufacturing apparatus shown in FIG.
  • a PE-CVDSiN film 24 deposited by force by opening a Noria insulating film 23 formed via a low-k film (SiOC film) 22 on the Cu wiring layer 21 shown in FIG.
  • SiOC film low-k film
  • Lamp 4 XeCl lamps with a wavelength of about 308 nm, illuminance of about 4-15 mWZcm 2 , irradiation time of about 1-2 minutes, distance to wafer 7 of about 10-20 cm,
  • Chamber 1 lTorr decompression state, temperature power of about 300-400 ° C, various inert gas atmospheres containing nitrogen gas, and oxygen gas supply amount of lOOccZ for cleaning conditions of lTorr decompression,
  • Wafer 7 Diameter is about 300mm, as shown in Fig. 14, Cu wiring layer 21, thickness from substrate side A SiOC film 22, a NORI insulation film 23, and a PE-CV DSiN film 24, which are low-k films with a thickness of about 30 nm, are formed!
  • a tantalum / tantalum nitride (TaZTaN) film which is a diffusion prevention metal 25, 26, is formed on the PE-CVDSiN film 24 thus modified, and a Cu wiring layer is formed in the via. Even if the wafer 7 on which 27 is formed is heated at a temperature of about 400 ° C. for 3 hours, PE—CVDSiN 24 forming the side surface of the via hole has a high density. As a result, Ta in diffusion prevention metal 25 and 26 did not diffuse.
  • a semiconductor device was actually manufactured using the semiconductor manufacturing apparatus shown in FIG. 6 or FIG.
  • Lamp 4 XeCl lamps with a wavelength of about 308 nm, illuminance of about 4-15 mWZcm 2 , irradiation time of about 1-2 minutes, distance to wafer 7 of about 10-20 cm,
  • Chamber 1 lTorr decompression state, temperature power of about 300-400 ° C, various inert gas atmospheres containing nitrogen gas, and oxygen gas supply amount of lOOccZ for cleaning conditions of lTorr decompression,
  • Wafer 7 Diameter is about 300 mm, and transistor 82 and the like are formed as shown in FIG.
  • cover P By changing the pressure in the CVD process of the E-CVDSiN film 84, replacing it with the cover LP-CV DSiN film, it was about 25% before modification, but about 1% after modification. Natsu.
  • the HfO film 33 is processed under the following conditions, and the semiconductor device is actually processed.
  • Lamp 4 XeBr lamps with a wavelength of about 282nm, illuminance of about 5-13mWZcm 2 , irradiation time of about 3 minutes,
  • Chamber 1 lTorr decompression state, temperature is about 250 ° C, various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate is lOOcc / min under cleaning conditions of lTorr decompression,
  • Wafer 7 LP-SiN film with a diameter of about 300 mm and sidewalls is formed with a thickness of about 300 nm.
  • FIG. 1 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to Embodiment 1 of the present invention.
  • FIG. 2 is a schematic configuration diagram of the first chamber 11 in FIG.
  • FIG. 3 is a diagram showing the relationship between the wavelength of irradiated light and the binding energy of a substance.
  • FIG. 4 is a diagram showing the relationship between the wavelength of irradiated light, the absorption edge, and the binding energy.
  • FIG. 5 is a schematic cross-sectional view of a part of the wafer 7 shown in FIG.
  • FIG. 6 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to Embodiment 2 of the present invention.
  • FIG. 7 is a schematic configuration diagram of the chamber 15 in FIG. 6.
  • FIG. 8 is a schematic cross-sectional view of a part of the wafer 7 shown in FIG.
  • FIG. 9 is a schematic cross-sectional view after removing a part of the SiN film 57 of the wafer 7 shown in FIG.
  • FIG. 10 is a schematic configuration diagram of a first chamber 11 according to Embodiment 4 of the present invention.
  • FIG. 11 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to Embodiment 5 of the present invention.
  • FIG. 12 is a schematic cross-sectional view of a part of a wafer 7 to be a semiconductor device according to Embodiment 6 of the present invention.
  • FIG. 13 is a partial cross-sectional view of a semiconductor device according to an example of the present invention.
  • FIG. 14 is a partial cross-sectional view of a semiconductor device according to an example of the present invention.
  • FIG. 15 is a partial cross-sectional view of a semiconductor device according to an example of the present invention.
  • FIG. 16 is a partial cross-sectional view of a semiconductor device according to an example of the present invention.
  • FIG. 17 is a schematic configuration diagram of a prevention ring 8 A for preventing the positional deviation of the wafer 7 provided in the first chamber 11 and the second chamber 12.
  • FIG. 18 is a diagram showing a modification of FIG.
  • FIG. 19 is a diagram showing a modification of the manufacturing process of the wafer 7 shown in FIGS. 8 and 9.
  • FIG. 20 is a view showing a modification of the manufacturing process of the wafer 7 shown in FIGS. 8 and 9.
  • FIG. 20 is a view showing a modification of the manufacturing process of the wafer 7 shown in FIGS. 8 and 9.
  • FIG. 20 is a view showing a modification of the manufacturing process of the wafer 7 shown in FIGS. 8 and 9.
  • FIG. 21 is a view showing a modification of the manufacturing process of the wafer 7 shown in FIGS. 8 and 9.

Abstract

L'invention vise un appareil semi-conducteur capable de modifier un film isolant. Une unité d'irradiation est munie de non seulement un premier moyen d'irradiation destiné à irradier un film isolant avec une lumière possédant une première longueur d'ondes mais aussi d'un deuxième moyen d'irradiation destiné à irradier le film isolant avec une lumière possédant une deuxième longueur d'ondes.
PCT/JP2006/308543 2005-10-14 2006-04-24 Unite d'irradiation, procede d'irradiation et dispositif semi-conducteur WO2007043205A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007539812A JPWO2007043205A1 (ja) 2005-10-14 2006-04-24 照射装置、照射方法及び半導体デバイス

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005299972 2005-10-14
JP2005-299972 2005-10-14

Publications (1)

Publication Number Publication Date
WO2007043205A1 true WO2007043205A1 (fr) 2007-04-19

Family

ID=37942468

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/308543 WO2007043205A1 (fr) 2005-10-14 2006-04-24 Unite d'irradiation, procede d'irradiation et dispositif semi-conducteur

Country Status (2)

Country Link
JP (1) JPWO2007043205A1 (fr)
WO (1) WO2007043205A1 (fr)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007200961A (ja) * 2006-01-24 2007-08-09 Sharp Corp 半導体装置およびその製造方法
WO2015045712A1 (fr) * 2013-09-30 2015-04-02 富士フイルム株式会社 Procédé de production de film d'oxyde métallique, film d'oxyde métallique, transistor à couches minces, dispositif d'affichage, capteur d'image, et capteur à rayons x

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06124890A (ja) * 1992-08-27 1994-05-06 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置の作製方法。
JPH1167759A (ja) * 1997-08-25 1999-03-09 Hitachi Ltd 高耐圧半導体装置の製造方法
JP2003142480A (ja) * 2002-08-09 2003-05-16 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2004095755A (ja) * 2002-08-30 2004-03-25 Fujitsu Ltd 半導体装置の製造方法
JP2004140341A (ja) * 2002-09-26 2004-05-13 Hitachi Chem Co Ltd 絶縁被膜
JP2004312004A (ja) * 2003-04-01 2004-11-04 Air Products & Chemicals Inc 低誘電体材料及びその作製方法
JP2006004996A (ja) * 2004-06-15 2006-01-05 Semiconductor Process Laboratory Co Ltd 層間絶縁膜及び拡散防止膜とこれらのソース材料、膜形成方法、膜形成用プラズマcvd装置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3957154B2 (ja) * 2002-03-19 2007-08-15 富士通株式会社 低誘電率膜形成用組成物、低誘電率膜及びその製造方法、並びに半導体装置
JP4342974B2 (ja) * 2003-02-12 2009-10-14 東京エレクトロン株式会社 硬化処理装置及びその方法、並びに塗布膜形成装置
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06124890A (ja) * 1992-08-27 1994-05-06 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置の作製方法。
JPH1167759A (ja) * 1997-08-25 1999-03-09 Hitachi Ltd 高耐圧半導体装置の製造方法
JP2003142480A (ja) * 2002-08-09 2003-05-16 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2004095755A (ja) * 2002-08-30 2004-03-25 Fujitsu Ltd 半導体装置の製造方法
JP2004140341A (ja) * 2002-09-26 2004-05-13 Hitachi Chem Co Ltd 絶縁被膜
JP2004312004A (ja) * 2003-04-01 2004-11-04 Air Products & Chemicals Inc 低誘電体材料及びその作製方法
JP2006004996A (ja) * 2004-06-15 2006-01-05 Semiconductor Process Laboratory Co Ltd 層間絶縁膜及び拡散防止膜とこれらのソース材料、膜形成方法、膜形成用プラズマcvd装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007200961A (ja) * 2006-01-24 2007-08-09 Sharp Corp 半導体装置およびその製造方法
WO2015045712A1 (fr) * 2013-09-30 2015-04-02 富士フイルム株式会社 Procédé de production de film d'oxyde métallique, film d'oxyde métallique, transistor à couches minces, dispositif d'affichage, capteur d'image, et capteur à rayons x
JP2015070211A (ja) * 2013-09-30 2015-04-13 富士フイルム株式会社 金属酸化物膜の製造方法、金属酸化物膜、薄膜トランジスタ、表示装置、イメージセンサ及びx線センサ

Also Published As

Publication number Publication date
JPWO2007043205A1 (ja) 2009-04-16

Similar Documents

Publication Publication Date Title
WO2007043206A1 (fr) Appareil et procede de fabrication d'un appareil semi-conducteur
US9646850B2 (en) High-pressure anneal
US7601648B2 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
US11011384B2 (en) Gapfill using reactive anneal
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
US20040048452A1 (en) Method of producing electronic device material
US20080020591A1 (en) Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure
US20100267248A1 (en) Post Treatment Methods for Oxide Layers on Semiconductor Devices
US20090026178A1 (en) Apparatus for annealing, method for annealing, and method for manufacturing a semi conductor device
KR102141670B1 (ko) 저온 경화 모듈러스 강화
JP3165324B2 (ja) 半導体装置の作製方法
TW202027198A (zh) 用於形成過渡金屬材料的群集處理系統
JP4456276B2 (ja) CVD窒化酸化シリコン層の後処理を備えるSiON/TEOS層間誘電体を形成するためのプロセス
WO2007043205A1 (fr) Unite d'irradiation, procede d'irradiation et dispositif semi-conducteur
JP2007324170A (ja) 照射装置及び照射装置を用いた半導体製造装置
WO2007132884A1 (fr) Procédé de fabrication d'un dispositif semi-conducteur et appareil de traitement de substrat
JP2007214156A (ja) 半導体デバイス
JP5508701B2 (ja) 半導体処理装置及び処理方法
WO2008018419A1 (fr) Appareil de fabrication de semi-conducteurs et procédé de fabrication de semi-conducteurs
TWI831815B (zh) 含氧被處理體之處理方法及處理裝置
CN112397372B (zh) 半导体器件的制作方法、半导体器件及其处理装置
JP2006019366A (ja) 半導体装置の絶縁膜形成方法
JP2001102373A (ja) 半導体装置の製造装置
JP2006066713A (ja) 半導体装置の製造方法
JP2003297829A (ja) 半導体装置の製造方法及び半導体装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007539812

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06745621

Country of ref document: EP

Kind code of ref document: A1