WO2001008204A1 - Procede et appareil d'exposition - Google Patents

Procede et appareil d'exposition Download PDF

Info

Publication number
WO2001008204A1
WO2001008204A1 PCT/JP2000/004871 JP0004871W WO0108204A1 WO 2001008204 A1 WO2001008204 A1 WO 2001008204A1 JP 0004871 W JP0004871 W JP 0004871W WO 0108204 A1 WO0108204 A1 WO 0108204A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
exposure
exposure beam
pressure
predetermined
Prior art date
Application number
PCT/JP2000/004871
Other languages
English (en)
French (fr)
Inventor
Naomasa Shiraishi
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to AU60218/00A priority Critical patent/AU6021800A/en
Priority to KR1020027000268A priority patent/KR20020019121A/ko
Publication of WO2001008204A1 publication Critical patent/WO2001008204A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Definitions

  • the present invention provides a device such as a semiconductor integrated circuit, an image sensor (such as a CCD), a liquid crystal display, a plasma display, or a thin film magnetic head.
  • a device such as a semiconductor integrated circuit, an image sensor (such as a CCD), a liquid crystal display, a plasma display, or a thin film magnetic head.
  • the mask pattern When manufacturing using lithography technology, the mask pattern must be
  • the present invention relates to an exposure method and apparatus used in the step of transferring onto a substrate, and is particularly suitable when using vacuum ultraviolet light (VUV light) as an exposure beam.
  • VUV light vacuum ultraviolet light
  • the wavelength of exposure light as an exposure beam gradually shifts to shorter wavelengths in order to increase resolution in accordance with miniaturization of circuits.
  • KrF excimer laser (wavelength: 248 nm) is mainly used as the exposure light, but a shorter wavelength vacuum ultraviolet ArF excimer laser (wavelength: 193 nm) is in practical use.
  • Exposure light in a wavelength band of about 180 nm or shorter such as a shorter wavelength F 2 laser (wavelength 157 nm) or an Ar 2 laser (wavelength 126 nm), which has a shorter wavelength even in the vacuum ultraviolet region.
  • a projection exposure apparatus that uses an image sensor has also been proposed.
  • Exposure light having a wavelength of about 180 nm or less is also extremely absorbed by oxygen, water vapor, hydrocarbon-based gases, and the like (hereinafter, referred to as “absorbent gas”).
  • a projection exposure apparatus that uses light having a wavelength of about 180 nm or less even in the vacuum ultraviolet region as the exposure light, it is necessary to suppress the absorption of the exposure light on the optical path and obtain high illuminance on the wafer.
  • a predetermined low-absorption optical material as the refractive optical member and the substrate of the reticle, and to replace the gas on the optical path with a low-absorption gas.
  • the outside air absorbing the exposure light mixes with the gas on the optical path, or the outgas including the absorbing gas absorbing the exposure light is generated from the inner wall of the lens barrel or the like in contact with the optical path.
  • the residual concentration of the absorbing gas in the gas on the optical path exceeds a predetermined standard value, the exposure energy on the wafer (substrate to be exposed) will be significantly reduced.
  • the absorption rate of exposure light in the optical path fluctuates due to the temporal fluctuation of the residual concentration of the absorbing gas and uneven distribution in the optical path, and the exposure energy on the wafer becomes unstable, Illumination unevenness may occur.
  • gas replacement in the optical path a method of continuously flowing a gas (nitrogen, rare gas, etc.) through which vacuum ultraviolet light as exposure light permeates for several hours during exposure, and a method of projecting an optical path of an exposure apparatus.
  • a method has been proposed in which the sealing mechanism is given pressure resistance, and the inside of the optical path is first almost completely evacuated and then filled with the gas.
  • the method of continuously flowing the gas as in the former method has a disadvantage that the amount of gas consumed by flowing the gas for a long time increases and the operating cost increases.
  • an expensive gas such as a helium is used as the gas, the operation cost of the projection exposure apparatus is greatly increased.
  • the inside of the optical path is made almost vacuum, and the gas through which the exposure light passes is filled.
  • the exposure light is absorbed from the constituent materials such as the lens barrel of the optical system.
  • the problem is that the impurities that have come off will contaminate the surface of the lens-mirror.
  • the present invention provides an exposure method that can stably perform the replacement when a gas on at least a part of the optical path of the exposure beam is replaced with a gas that transmits the exposure beam. Is the first purpose.
  • the present invention provides an exposure method capable of replacing gas on the optical path of at least a part of the exposure beam with a gas transmitted by the exposure beam at a low operating cost.
  • the second purpose Further, a third object of the present invention is to provide an exposure apparatus and a method of manufacturing the exposure apparatus, which can easily or efficiently perform such an exposure method. Further, a fourth object of the present invention is to provide a device manufacturing method capable of manufacturing a device with high illumination efficiency and high throughput by using the exposure method. Disclosure of the invention
  • the first exposure method according to the present invention is directed to an exposure method for illuminating a first object (41) with an exposure beam and exposing a second object (61) with an exposure beam having passed the pattern of the first object.
  • a space (BMU to WST) containing at least a part of the optical path of the exposure beam is sealed, and a predetermined gas transmitted through the exposure beam is supplied to the vicinity of the first pressure (P 1) in the sealed space.
  • the filling step of supplying gas up to a gas pressure (P 3) between the first pressure and the second pressure is alternately repeated a plurality of times.
  • the depressurizing step and the filling step are repeated twice or more, for example, twice or more without setting the second air pressure to a high vacuum.
  • the gas transmitted by the exposure beam composed of the following light can be filled with high purity.
  • the amount of outgas including impurities generated from the wall members of the space is reduced, and the gas can be stably replaced in the space. it can.
  • the first pressure (P 1) is, for example, 900 hPa to 110 hPa, that is, approximately 1 atm (atmospheric pressure)
  • the second pressure (P 2) Is, for example, in the range of 50 Pa to 10 kPa, that is, approximately 0.1 to 0.001 atm, and the second pressure need not be so high.
  • the first object (41) is exposed by the exposure beam.
  • a space (BMU to WST) including at least a part of an optical path of the exposure beam is sealed.
  • a second step of substituting with a second gas is
  • the amount of the second gas used can be reduced when the gas in the space is replaced with the gas transmitted by the exposure beam. Therefore, as an example, the operation cost can be reduced by using a gas that is more expensive than the first gas as the second gas, but has higher transmittance to the exposure beam than the first gas. .
  • the first exposure apparatus of the present invention illuminates a first object (41) with an exposure beam, and exposes a second object (61) with an exposure beam having passed through the pattern of the first object.
  • an airtight chamber (2 to 6) for sealing a space (BMU to WST) including at least a part of the optical path of the exposure beam, and a predetermined gas through which the exposure beam passes is supplied to the airtight chamber.
  • a gas supply device (S2 to S6), and the gas supply device includes an absorption gas removal filter (15) for removing at least one of oxygen and water vapor contained in the predetermined gas. The one with Phil Yu.
  • the gas in the hermetic chamber can be maintained in a high purity state.
  • a second exposure apparatus of the present invention illuminates a first object with an exposure beam, and exposes a second object with an exposure beam that has passed through a pattern of the first object.
  • Airtight chambers (2 to 6) that seal the space (BMU to WST) containing at least a part of A gas supply device (S2 to S6) that supplies a predetermined gas through which the exposure beam passes, and a gas concentration measurement device (1 1 2) that measures the concentration of a predetermined residual gas remaining in the space in the hermetic chamber.
  • an opening / closing mechanism V13, V14 for opening and closing a gas passage between the space in the airtight chamber and the gas concentration measuring device.
  • the opening / closing mechanism is closed to close the gas concentration measurement device and the space.
  • the gas concentration measuring device can be protected by disconnecting the gas concentration measuring device. Therefore, the gas concentration in the hermetic chamber can be stably measured when the above-described exposure method of the present invention is performed.
  • a third exposure apparatus is an exposure apparatus that illuminates a first object with an exposure beam and exposes a second object with an exposure beam that has passed through the pattern of the first object.
  • Airtight chamber (2-6) for sealing a space (BMU-WST) containing at least a part of the above, and a gas supply device (S2-S) for supplying a predetermined gas through which the exposure beam passes into the airtight chamber. 6), an openable / closable shutoff valve (V12, VI) provided in the supply path of the predetermined gas by the gas supply unit, and the shutoff valve at the time of maintenance and emergency of the exposure apparatus.
  • a control device (17, 18) for closing and stopping the supply of the predetermined gas to the airtight chamber.
  • the shut-off valve is closed at the time of maintenance and in an emergency, the outside air is introduced into the airtight chamber, a predetermined operation is performed, and then the shutoff valve is opened again.
  • the gas which the exposure beam transmits can be filled. Therefore, the exposure method of the present invention can be efficiently performed.
  • the fourth exposure apparatus of the present invention illuminates a first object with an exposure beam, and exposes a second object with an exposure beam that has passed through the pattern of the first object.
  • An airtight chamber for hermetically sealing a space including at least a part of an optical path of the exposure beam; a gas supply device for supplying a predetermined gas permeating the exposure beam into the airtight chamber to near a first pressure.
  • the gas supply device includes: a pressure reducing mechanism that reduces the pressure of the gas in the hermetic chamber to a second pressure lower than the first pressure; and a predetermined pressure in the hermetic chamber that stores the predetermined gas in the hermetic chamber.
  • a control device for controlling the pressure reducing mechanism and the charging mechanism so that the pressure reduction and the charging are repeated a plurality of times. .
  • a fifth exposure apparatus of the present invention is an exposure apparatus that illuminates a first object with an exposure beam and exposes a second object with an exposure beam that has passed through the pattern of the first object.
  • An airtight chamber that seals a space including at least a part thereof, a first gas supply device that supplies a first gas through which the exposure beam passes into the airtight chamber, and a different type of the first gas.
  • a second gas supply device for supplying a second gas, both of which are transmitted by the exposure beam, into the hermetic chamber, and an adjusting device for adjusting a gas supply amount of the first and second gas supply devices are provided. It is a thing.
  • the first and second exposure methods of the present invention can be performed by these fourth and fifth exposure apparatuses, respectively.
  • the device manufacturing method of the present invention includes a step of transferring a device pattern onto a workpiece (61) using the exposure method of the present invention or the exposure apparatus of the present invention.
  • the exposure method of the present invention the transmittance of the light path of the exposure beam is maintained high, and the illuminance (exposure energy) of the exposure beam on the workpiece is maintained high, so that the throughput of the exposure process is reduced. And devices can be produced at high throughput.
  • the method for manufacturing a first exposure apparatus includes illuminating a first object with an exposure beam, and illuminating a second object with an exposure beam that has passed through the pattern of the first object.
  • an airtight chamber for sealing a space including at least a part of an optical path of the exposure beam, and a predetermined gas through which the exposure beam passes are supplied to the airtight chamber.
  • a gas supply device having an impurity removal filter including an absorption gas removal filter for removing at least one of oxygen and water vapor contained in a predetermined gas is assembled in a predetermined positional relationship.
  • the second method of manufacturing an exposure apparatus is a method of manufacturing an exposure apparatus that illuminates a first object with an exposure beam and exposes a second object with an exposure beam that has passed through the pattern of the first object.
  • An airtight chamber that seals a space including at least a part of the optical path of the exposure beam; a gas supply device that supplies a predetermined gas through which the exposure and the beam pass; and a space inside the airtight chamber.
  • a gas concentration measuring device that measures the concentration of a predetermined residual gas that remains, and an opening / closing mechanism that opens and closes a gas passage between the space in the hermetic chamber and the gas concentration measuring device are assembled in a predetermined positional relationship. is there.
  • a third method of manufacturing an exposure apparatus includes a method of manufacturing an exposure apparatus that illuminates a first object with an exposure beam and exposes a second object with an exposure beam that has passed through the pattern of the first object.
  • An airtight chamber that seals a space including at least a part of the optical path of the exposure beam, a gas supply device that supplies a predetermined gas through which the exposure beam passes, and a gas supply device that uses the gas supply device.
  • a shutoff valve provided in the supply path of a predetermined gas, which can be opened and closed, a control device for closing the cutoff valve and stopping the supply of the predetermined gas to the hermetic chamber during maintenance of the exposure apparatus and in an emergency. Are assembled in a predetermined positional relationship.
  • a fourth method for manufacturing an exposure apparatus is a method for manufacturing an exposure apparatus, which illuminates a first object with an exposure beam and exposes a second object with an exposure beam that has passed through the pattern of the first object.
  • the light path of the exposure beam An airtight chamber for sealing a space including at least a part thereof, and a predetermined gas through which the exposure beam passes is supplied to the vicinity of the first air pressure into the airtight chamber, and the gas in the airtight chamber is supplied from the first air pressure.
  • a gas supply device having a pressure reducing mechanism and a control device for controlling the charging mechanism is assembled in a predetermined positional relationship so that the charging is repeated a plurality of times.
  • a fifth method of manufacturing an exposure apparatus according to the present invention is directed to a method of manufacturing an exposure apparatus that illuminates a first object with an exposure beam and exposes a second object with an exposure beam that has passed through the pattern of the first object.
  • An airtight chamber that seals a space including at least a part of the optical path of the exposure beam, a first gas supply device that supplies a first gas through which the exposure beam passes into the airtight chamber, A second gas supply device that supplies a second gas, which is different in type from the first gas and is transmitted by the exposure beam, into the hermetic chamber, and a gas supply amount by the first and second gas supply devices.
  • the adjustment device to be adjusted is assembled in a predetermined positional relationship.
  • FIG. 1 is a schematic configuration diagram showing a projection exposure apparatus used in an example of an embodiment of the present invention.
  • FIG. 2 is a configuration diagram showing a representative gas replacement unit S and a corresponding hermetic unit 8 in FIG.
  • FIG. 3 is a diagram showing a configuration example of the densitometer 11A (or densitometer 11B) in FIG.
  • FIG. 4 is a diagram showing a state of a change in air pressure in the hermetic unit when the depressurizing step and the filling step of the low-absorbent gas are repeated in the embodiment of the present invention.
  • FIG. 5 is a flowchart showing the gas replacement operation of the airtight unit according to the embodiment of the present invention. BEST MODE FOR CARRYING OUT THE INVENTION
  • the present invention is applied to a case where the exposure is performed by a projection exposure apparatus that uses light having a wavelength of about 200 nm or less as an exposure beam, that is, light that can be regarded as almost vacuum ultraviolet light (VUV light). It is.
  • VUV light almost vacuum ultraviolet light
  • FIG. 1 is a schematic configuration diagram showing the projection exposure apparatus of this example.
  • an F 2 laser fluorine laser
  • a Kr 2 laser krypton dimer laser
  • an Ar 2 laser argon dimer laser
  • Exposure light IL composed of an ultraviolet laser beam as an exposure beam emitted from exposure light source 1 illuminates reticle 41 as a mask via beam matching unit BMU and illumination optical system ILU.
  • the exposure light IL that has passed through the reticle 41 forms a reduced image of the pattern of the reticle 41 on a wafer 61 as a substrate to be exposed via the projection optical system PL.
  • the reticle 41 and the wafer 61 correspond to the first object and the second object of the present invention, respectively.
  • the Z axis is taken parallel to the optical axis AX of the projection optical system PL
  • the X axis is taken parallel to the plane of Figure 1 in a plane perpendicular to the Z axis
  • the Y axis is taken perpendicular to the plane of Figure 1 explain.
  • the exposure light IL from the exposure light source 1 travels to the illumination optical system ILU via the relay lens 21, the optical path bending mirror 22, the relay lens 23, and the relay lens 24. .
  • the exposure light IL from the beam matching unit BMU is compared with the optical integrator (homogenizer).
  • the light enters the fly-eye lens 3 1 as 1 1.
  • An aperture stop ( ⁇ stop) 32 of an illumination system is arranged on the exit surface of the fly-eye lens 31. Note that a rod lens may be used instead of the fly-eye lens 31.
  • the exposure light IL that passed through the aperture stop 32 passed through the relay lens 33, the mirror 34 for bending the optical path, and the relay lens 35 to the field stop (reticle blind) 36, and passed through the field stop 36.
  • the exposure light IL illuminates the reticle 41 via a condenser lens 37, an optical path bending mirror 38, and a condenser lens 39.
  • the above-mentioned beam matching unit BMU and the illumination optical system ILU are provided in a box-shaped first hermetic unit 2 and a second hermetic unit 3 having high airtightness and predetermined pressure resistance, respectively, from outside air. Sealed in isolation.
  • the reticle 41 is held on the reticle stage 42 by vacuum suction or the like, and the reticle stage 42 is continuously movable (scanned) in the X direction on the reticle base 43, and is freely movable in the X and Y directions. It is mounted so that it can move slightly in the direction of rotation.
  • the position of the reticle stage 42 in the X and Y directions and the rotation angle around the three axes are measured by a laser interferometer (not shown), and the main control for controlling the measured values and the operation of the entire device (not shown)
  • a reticle stage drive system (not shown) controls the operation of reticle stage 42 based on control information from the system.
  • the reticle stage system RST is composed of the reticle stage 42 and the reticle base 43, and the reticle stage system RST is covered by a box-shaped reticle stage room 4 consisting of highly airtight partitions so as to be isolated from the outside air. Have been done.
  • the reticle stage room 4 can also be referred to as a third hermetic unit 4.
  • the exposure light IL that has passed through the reticle 41 is used to project the pattern in the illuminated area on the reticle 41 through the projection optical system PL to a projection magnification / 3 (for example, 1/4, 1 / ⁇ , 1Z6 Exposure on the wafer 61 is performed with the image reduced by the above.
  • the projection optical system PL is configured by arranging lens systems 51, 52, 53, 54 in order from the reticle 41 side along the optical axis AX.
  • a photoresist (photosensitive material) is applied on the wafer 61, and the wafer 61 is a disk-shaped substrate made of, for example, a semiconductor (eg, silicon) or SOI (silicon on insulator).
  • the projection optical system PL is housed in a highly airtight and highly pressure-resistant lens barrel 5 in a state of being isolated from the outside air, and the lens barrel 5 may be referred to as a fourth airtight unit. it can.
  • the wafer 61 is held on the wafer holder 62 by vacuum suction or the like, the wafer holder 62 is fixed on the wafer stage 63, and the wafer stage 63 is continuously moved in the X direction on a wafer base (not shown).
  • the position of the wafer stage 63 in the X and Y directions, and the rotation angles (e.g., the amount of pitching, the amount of pitching, and the amount of rolling) around the three axes are measured by a laser interferometer (not shown).
  • a wafer stage drive system (not shown) controls the operation of wafer stage 63 based on control information from a main control system (not shown).
  • wafer stage 63 focuses the surface of wafer 61 on the image plane of projection optical system PL based on a measurement value of an autofocus sensor (not shown).
  • a wafer stage system WST is composed of a wafer holder 62, a wafer stage 63, a wafer base (not shown), and the like.
  • the wafer stage system WST is exposed to air in a box-shaped wafer stage chamber 6 formed of highly airtight partitions. It is covered to be isolated from.
  • the wafer stage chamber 6 can also be referred to as a fifth hermetic unit 4.
  • a reticle 41 is scanned in the X direction at a constant speed VR, and one shot area on the wafer 61 is synchronized in the X direction at a constant speed 3-VR (3 is the projection magnification of the projection optical system PL). ) And the step movement of the wafer 61 to move the next shot area to the scan start position. The operation is repeated in a step-and-scan manner, so that the entire shot area on the wafer 61 is exposed.
  • the projection exposure apparatus of the present embodiment employs the scanning exposure method, but it goes without saying that the present invention can be applied to a batch exposure type projection exposure apparatus such as a stepper.
  • the absorption path from the optical path to the exposure light IL is large (that is, a substance having a low transmittance, that is, oxygen, water vapor, Therefore, it is necessary to eliminate “absorptive gas” such as hydrocarbon-based gas, etc. Therefore, in the projection exposure apparatus of this embodiment, the exposure light IL absorbs the gas that passes through the optical path, ie, the light in the vacuum ultraviolet region.
  • a gas supply device for supplying a gas having a low rate (hereinafter, referred to as a “low-absorbing gas”) is provided as a low-absorbing gas in this example, a so-called inert gas, ie, nitrogen gas (N 2 ) or Use a rare gas consisting of helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), or radon (Rn).
  • a mixture of two or more inert gases Gas may be used.
  • FIG. 1 the upper part of the first hermetic unit 2 and the second hermetic unit 3, the reticle stage room 4, the barrel 5 of the projection optical system PL, and the wafer stage room 6 of the projection exposure apparatus of this example are shown.
  • the exposure light source 1 and the lower part of the first hermetic unit 2 are installed, for example, in a machine room downstairs of the clean room.
  • a first gas source (not shown) for generating a first low-absorbing gas GA through which vacuum ultraviolet light passes is provided in the machine room, and a vacuum different from the first low-absorbing gas GA.
  • a second gas source (not shown) that generates a second low-absorbing gas GB that transmits ultraviolet light is installed.
  • Unit 2 the second hermetic unit 3 surrounding the illumination optical system I LU, the reticle stage room 4 surrounding the reticle stage system RST, the lens barrel 5 surrounding the projection optical system PL, and the wafer stage system WST.
  • the gas replacement units S2 to S6 are connected to the wafer stage chamber 6, and replace the gas in the corresponding airtight units (airtight units 2 to wafer stage chamber 6).
  • nitrogen gas is used as the first low-absorbing gas GA
  • a rare gas of helium or neon is used as the second low-absorbing gas GB.
  • the refractive indices (values related to the D line) of each of the above gases are as follows.
  • the thermal conductivity of each of the above gases at 0 ° C. is as follows.
  • the second low-absorbing gas GB (noble gas) has a smaller refractive index than the first low-absorbing gas GA (nitrogen), and the amount of change in the refractive index with respect to atmospheric pressure fluctuations and the like. Is small, there is an advantage that the imaging characteristics of the projection optical system PL are stabilized. Furthermore, the second low-absorbing gas GB has better thermal conductivity and better heat dissipation effect than the first low-absorbing gas GA, It is also excellent in temperature stability of members and the like. However, since the second low-absorbing gas GB is currently more expensive than the first low-absorbing gas GA, in order to reduce the operating cost of the exposure apparatus, the second low-absorbing gas GB must be removed. It is desirable to reduce costs.
  • the volume of the internal space is large like the first hermetic unit 2, the second hermetic unit 3, the reticle stage room 4, and the wafer stage room 6,
  • the first low-absorbing gas GA which is inexpensive, is mainly supplied to parts that do not significantly affect the characteristics, and the volume of the internal space is not so large as in the barrel 5 of the projection optical system PL, but is high.
  • the high performance second low-absorbing gas GB may be mainly supplied to the portions where the imaging characteristics need to be maintained. As a result, high imaging characteristics can be obtained while suppressing operating costs.
  • the airtight units 2 and 3, the reticle stage room 4, the lens barrel 5 of the projection optical system PL, and / or the Jehachi stage room 6 first, After substantially replacing the gas with the inexpensive first low-absorbing gas GA, it may be replaced with the high-performance second low-absorbing gas GB.
  • the first low-absorbing gas GA remains to a certain extent, it hardly affects the transmittance of the exposure light IL, so it is not necessary to replace the second low-absorbing gas GA so strictly. There is no.
  • the amount of the second low-absorbing gas GB can be reduced compared to the case where the second low-absorbing gas GB is replaced from the beginning, and the operation cost can be reduced and high imaging can be achieved. Characteristics are obtained.
  • a third operation method for example, inexpensive first units are used in the airtight units 2 and 3, the reticle stage room 4, the lens barrel 5 of the projection optical system PL, and / or the entire wafer stage room 6.
  • the low-absorbent gas GA and the high-performance second low-absorbent gas GB may be replaced by a gas mixed at a predetermined ratio. This method also reduces the consumption of the second low-absorbent gas GB. Relatively high imaging performance and the like can be obtained.
  • the gas replacement units S2 to S6 are connected to an intake device 7 including a vacuum pump or the like via an exhaust pipe 9C1 or 9C2, and are connected to the gas replacement unit S2 by the intake device 7. It is configured to be able to exhaust the gas containing the absorptive gas and the like from S6.
  • the gas GC exhausted by the intake device 7 is exhausted via a pipe 9D to an exhaust pipe (not shown) in a semiconductor factory where the projection exposure apparatus of the present example is installed, and the dust and chemicals are exhausted. Removal of substances etc. is performed.
  • high-purity low-absorbing gas was separated from the gas GC exhausted by the intake device 7, and the low-absorbing gas thus separated was again piped to 9A, It may be returned to 9B and reused.
  • the low-absorbing gas to be reused is supplied to the reticle stage chamber 4 and the wafer stage chamber 6, and the airtight units 2, 3 and the lens barrel 5 of the projection optical system PL are supplied with the first or second gas.
  • a high-purity low-absorbency gas supplied from a gas source may be supplied. As a result, the operating cost can be further reduced and the intensity of the exposure light can be maintained high.
  • each of the gas replacement units S2 to S6 Since the configurations of the gas replacement units S2 to S6 are the same as each other except for the gas flow rate and the like, one gas replacement unit S (S2 to S6) arbitrarily selected from them is selected. Either of them will be explained.
  • an airtight unit any of the airtight unit 2 to the wafer stage chamber 6) in which gas replacement is performed by the gas replacement unit S is referred to as an airtight unit 8.
  • FIG. 2 shows the gas exchange unit S and the corresponding airtight unit 8.
  • the airtight unit 8 including a part of the optical path of the exposure light of the projection exposure apparatus and the gas exchange unit S are, for example, They are connected via special stainless steel inlet and outlet pipes Si and Se.
  • Valves V12 and VI that can be opened and closed are respectively installed in the air supply pipe Si and the exhaust pipe Se.
  • the low-absorbing gas GA and GB supplied from the gas source (not shown) to the pipes 9A and 9B are connected to the common openable / closable valve V11 via the openable / closable valves V9 and VI0, respectively. Via the temperature controller 16.
  • the low-absorbent gas GA, the low-absorbent gas GB, or a mixture thereof is supplied to the temperature controller 16. can do.
  • the valve V I I By closing the valve V I I, the supply of the low-absorbing gas GA and GB from the pipes 9 A and 9 B can be stopped.
  • the inlet of the temperature controller 16 is also connected to a pipe fitted with another openable and closable valve V7.
  • valve V7 is closed, the valves VI2 and VII are opened, and the low-absorbent gas whose temperature is controlled to a predetermined temperature by the temperature controller 16 is supplied to the outlet and the supply pipe S. It is supplied to the airtight unit 8 via i.
  • the air in the airtight unit 8 is pushed out by the flow of the low-absorbing gas into the airtight unit 8, and the exhaust pipe S After passing through e, it is exhausted to the inlet of the 11 A concentration meter for residual gas.
  • the outlet of the concentration meter 11 A is connected to a pipe equipped with openable and closable valves V 2 and V 3, the pipe equipped with the valve V 2 is connected to the blower pump 12, and the valve V 3 is connected
  • the installed pipe is connected to the intake device 7 via an exhaust pipe 9 C (corresponding to the pipes 9 C 1 and 9 C 2 in FIG. 1).
  • the blower pumps 1 and 2 are dustproof Connected to pipes equipped with a valve V8 that can be opened and closed, and pipes equipped with a valve V7 via a filter 14 and an absorbent gas removal filter 15 and a concentration meter 11B for residual gas.
  • the pipe equipped with the valve V8 is connected to the intake device 7 via an exhaust pipe 9C.
  • a pipe equipped with a valve V 4 that can be opened and closed is also connected to the inlet of the blower pump 12, and this pipe is connected to pipes 9 A and 9 B via valves V 5 and V 6 that can be opened and closed, respectively. It is connected.
  • the densitometers 11A and 11B are sensors that combine, for example, an oximeter and a hygrometer (or a dew point meter can also be used) as a water vapor densitometer.
  • the concentration of the absorptive gas (here, for example, oxygen and water vapor) in the gas passing through the inside is measured, and the measurement result is supplied to a control device 17 composed of a micro computer.
  • the concentration meters 11A and 11B have the first A low absorption gas GA (nitrogen gas) concentration sensor is also incorporated.
  • GA nitrogen gas
  • the control device 17 controls the opening and closing of the valves V1 to V12 based on the measured values of the concentrations of the absorbing gas and the first low-absorbing gas GA and the control information from the main control system 18.
  • the valve V2 is closed and the valve V3 is opened.
  • the residual air in the airtight unit 8 that has been exhausted after passing through the densitometer 11 A is exhausted by the intake device 7 through the pipe 9C.
  • the types of the low-absorbing gas that replaces the inside of the hermetic unit 8 include pressure change characteristics of the refractive index and temperature for the purpose of optically stabilizing the optical path.
  • a gas having a small change characteristic is preferable, and a low molecular weight gas having a large thermal conductivity is preferable in view of the cooling effect of the optical system (lens and mirror).
  • Helium is the most preferable gas satisfying both requirements, and other rare gases such as neon and argon are also suitable.
  • rare gases such as helium are expensive, consuming a large amount of gas by the continuous flow as described above is not preferable because the operating cost increases.
  • gas is supplied using the first low-absorbent gas GA (nitrogen gas), which is inexpensive, and after absorbing most of the absorbent gas in the hermetic unit 8, the high-performance second Switch to the supply of low-absorbent gas GB (rare gas, preferably a helium), and adopt a method of filling the hermetic unit 8 with a rare gas.
  • GA nitrogen gas
  • GB IR gas, preferably a helium
  • step 201 of FIG. 5 the valves V9, VII, V12, VI, and V3 in FIG. 2 are opened, and the valves V10, V7, and V2 are opened. Close and supply the first low-absorbent gas GA into the hermetic unit 8.
  • step 202 when the concentration of the absorbing gas such as oxygen and water vapor measured by the concentration meter 11A becomes lower than the predetermined value DA1 (for example, 5 ppm), the process proceeds to step 203.
  • the valve V9 is closed, the valve V10 is opened, and the gas supplied into the hermetic unit 8 is switched to the second low absorption gas GB (rare gas).
  • DA1 for example, 5 ppm
  • the supply of the second low-absorbent gas GB is continued until the residual concentration of the first low-absorbent gas GA measured in step 204 becomes equal to or less than the allowable value DA 2 (for example, several%). .
  • the gas in the hermetic unit 8 is replaced by the high-concentration second low-absorbing gas GB, and the transmittance of the exposure light passing through the optical path in the hermetic unit 8 is maintained high. In this state, exposure is performed in step 205.
  • the residual concentration of the first low-absorbent gas GA after the supply of the second low-absorbent gas GB there is no particular inconvenience even if there is a few percent residue.
  • the concentration meters 11A and 11B do not need to have the function of measuring the concentration of the first low-absorbing gas GA, so the device configuration is simplified. Be transformed into
  • each airtight unit airtight units 2 and 3, reticle stage room 4, projection optical system PL lens barrel 5, wafer stage room 6) Force Difference between internal vacuum and external atmospheric pressure The structure must be strong enough to withstand the pressure.
  • the method of performing the gas replacement after drawing the vacuum has the advantage that the required time is short and the amount of the necessary low-absorbing gas is small, but the inside of the airtight unit 8 is evacuated.
  • degass including impurities are generated from various components in the airtight unit 8, and the generated impurities adhere to the surfaces of optical members such as lenses and mirrors, and cloudy substances are formed on the surfaces of the optical members, There is a possibility that the transmittance of the exposure light may be reduced.
  • the pressure inside the hermetic unit 8 at the first pressure reduction is kept at a low vacuum that does not cause degassing from various components.
  • Adopt a method to prevent contamination of optical members You.
  • the pressure in the airtight unit 8 before the start of decompression is P 1 (PI is approximately 1 atm, that is, P 1 is approximately 900 hPa to 110 hPa), and the steps in FIG.
  • P2 is lower than P1
  • the valves V7, VII and V2 in FIG. , V3 is opened, and the intake device 7 on the extension of the exhaust pipe 9C is operated.
  • a vacuum pump (dry pump) is further installed near the valve V3 on the pipe 9C in order to improve the suction capacity and suppress oil generation from the suction mechanism in the suction device 7.
  • the pressure may be reduced using this vacuum pump.
  • a pressure gauge 19 for measuring the air pressure inside the airtight unit 8 is provided in the pipe from the valve V12 to the airtight unit 8, in the pipe from the airtight unit 8 to the valve V1, or in the airtight unit.
  • the pressure is set at an arbitrary position inside 8 and the pressure measured by the pressure gauge 19 is supplied to the controller 17.
  • the controller 17 controls the pressure reduction and the pressure increase based on the measured value of the atmospheric pressure.
  • the air pressure in the airtight unit 8 is changed.
  • the horizontal axis represents the elapsed time t
  • the vertical axis represents the pressure P in the airtight unit 8.
  • the pressure reduction in step 211 is started at time t0 in FIG. 4, and is performed until the pressure P in the airtight unit 8 reaches the predetermined pressure P2 at time t1.
  • the valve V3 in FIG. 2 is closed, and the pressure reduction is stopped.
  • the predetermined pressure P2 is a low vacuum pressure at which degassing from various components does not occur, and a numerical value is about 50 Pa to 10 kPa.
  • step 2 12 in FIG. 5 at time t2 in FIG. 4, the valve V3 in FIG. 2 is closed, the valve V10 (or V9) and the valve V11 are opened, and the airtight unit is opened.
  • Supply low-absorbent gas GB (or GA) into The airtight unit 8 is filled with the low-absorbent gas to a pressure P3 higher than the pressure P2.
  • the pressure P3 is lower than the pressure P1.
  • the valves V10 (or V9) and the valve VI1 are closed, and the filling of the absorbent gas is completed.
  • steps 2 11 and 2 12 are repeatedly executed from time t 8 to a time exceeding t 10, and thereafter, the process proceeds to step 214, and the low level is finally stored in the hermetic unit 8.
  • the pressure inside the airtight unit 8 becomes the pressure P1, and the gas replacement is completed.
  • exposure is performed in step 215.
  • the pressure P 1 at the time of the final exposure is preferably set to the atmospheric pressure (approximately 1 atm).
  • the pressure P 1 at the time of the final exposure is preferably set to the atmospheric pressure (approximately 1 atm).
  • the pressure P 1 at the time of the final exposure is preferably set to the atmospheric pressure (approximately 1 atm).
  • the pressure lower than the atmospheric pressure it is desirable to set the pressure lower than the atmospheric pressure.
  • the inside of the hermetic unit 8 is not depressurized to a high vacuum, degassing from the internal structure can be prevented.
  • the pressure is reduced to a low vacuum (atmospheric pressure P 2), the absorbing gas will remain inside the airtight unit 8.
  • the pressure is reduced to the pressure P 2 and the pressure is increased to a higher pressure P 3.
  • the concentration meters 11 A and 11 B include the oxygen concentration meter and the water vapor concentration meter.
  • a sensor unit is included. Some of these sensors cannot withstand reduced pressure due to their structure. For example, polarographic oximeters and zirconia oximeters have structures that cannot withstand reduced pressure. Therefore, as shown in step 21 in FIG. 5:! To 214, when the sensor performs a gas replacement operation through a pressure reduction process and has a sensor unit that cannot withstand the pressure reduction, It is necessary to install a sensor unit with a concentration meter of 11 A at a position that can be separated from the main gas flow path by a valve or the like.
  • Fig. 3 is a diagram showing such an installation method.
  • concentration meter 11A for residual gas shown in Fig. 3 piping for gas flowing into it and piping for gas flowing out 1 1 6 are shown.
  • two switching valves V 13, VI 4 operating under the control of the control device 17 are provided, and one pipe between both valves VI 3, V 14 is connected to the main As the flow path 114, the other pipe is used as the sub flow path 115.
  • the sensor section 112 for the residual gas including the oxygen concentration meter, the water vapor concentration meter, and the nitrogen concentration meter is disposed on the sub-flow path 115.
  • the main flow path 114 when depressurization is performed during gas replacement, the main flow path 114 is connected to the inflow pipe 113 and the outflow pipe 116 by switching valves V13 and V14. The communication is performed, and the sub flow path 1 15 and the inflow pipe 1 13 and the outflow pipe 1 16 are shut off. That is, the sub flow path 115 is separated from the main flow path 114 to prevent the residual gas sensor 112 from being depressurized. Then, after the gas replacement is completed, the sub flow path 1 15 is connected to the inflow pipe 1 13 and the outflow pipe 1 16 by the switching valves VI 3 and V 14, and as shown in FIG. Measure the concentration of residual gas (absorbent gas) in the gas flowing from the airtight unit 8.
  • the configuration of the residual gas concentration meter 11 A as shown in FIG. It is desirable to be able to separate from the main flow path 114. This can prevent damage or sensitivity deterioration due to the high concentration of residual gas flowing into the sensor section 112 of the residual gas in the initial stage of gas replacement. Further, it is more preferable to provide a structure in which only the sub-channel 1 15 of the sensor section 112 of the residual gas can be replaced by a separate gas supply.
  • a rare gas such as a helium gas is optimal, but because of its high cost, each gas in the exposure apparatus requiring gas replacement is required.
  • the hermetic units the hermetic units 2, 3, the reticle stage room 4, the projection optical system PL lens barrel 5, and the wafer stage room 6
  • only the hermetic units that have a particular effect on the performance use rare gases such as helium.
  • the lens barrel 5 of the projection optical system PL changes in the refractive index of the gas due to pressure fluctuations and temperature fluctuations, and the rise in the temperature of the lens member due to the absorption of exposure light greatly affect the imaging performance.
  • the beam is replaced by nitrogen.Because the air-tight unit 2 surrounding the beam matching unit BMU and the air-tight unit 3 surrounding the illumination optical system ILU are insensitive to these effects, they are replaced with nitrogen. It is good.
  • the replacement gas may be nitrogen.
  • the pressure on the measurement result of the position measurement interferometer (not shown) In order to avoid the fluctuation of the temperature and the fluctuation of the temperature, it is preferable to substitute with a rare gas such as helium.
  • nitrogen is used as the first low-absorbing gas GA
  • a noble gas is used as the second low-absorbing gas GB.
  • Argon which is a gas having a relatively large refractive index and low thermal conductivity, is used even among rare gases, and other rare gases (such as helium and neon) are used as the second low-absorbing gas GB. You can.
  • the concentration of the absorbing gas in the hermetic unit 8 reaches a predetermined value or less, the transmittance of the exposure light is improved and stabilized, and the exposure apparatus can start the exposure operation.
  • the impurity gas is continuously reduced, although it is extremely small compared to when vacuuming is performed. Is generated (desorption), contaminating the gas on the optical path in the hermetic unit 8, and reducing the transmittance of exposure light.
  • the gas supplied from the pipes 9A and 9B may be continuously used as described above, but the operation cost rises because a large amount of gas is consumed. I do. Therefore, in the following embodiment, a mechanism for circulating the gas in the airtight unit 8 while maintaining the gas purity will be described.
  • a mechanism from the valve V2 to the valve V7 via the blower pump 12 in the gas flow path in FIG. 2 is a mechanism used for this gas circulation, and will be described in detail below.
  • the gas exhausted from the hermetic unit 8 is pressurized by a blower pump 12 through a residual gas concentration meter 11 A and a valve V 2, and is then HEPA filtered (high efficiency particulate air-filter) or ULPA filter ( Ultra low penetration air-filter) and other dust-removing filters After removing dust, etc., chemicals for removing organic substances, such as ceramics and metal oxide powder, and ammonia-removing filters, etc.
  • the gas that passed through the chemical filter 14 was subjected to removal of oxygen and water vapor down to the order of ppm respectively by an absorbent gas removal filter 15 including an oxygen removal filter made of metal powder and the like and a water vapor removal filter. Thereafter, the concentration of the residual gas is checked with a residual gas concentration meter 11B.
  • the gas that has passed through the densitometer 11 B passes through a valve V 7, is temperature-controlled by a temperature controller 16, and is supplied to an airtight unit 8 through a valve VI 2.
  • the range from the dustproof filter 13 to the absorbent gas removing filter 15 corresponds to the impurity removing filter of the present invention.
  • the arrangement is arranged upstream of the chemical filter 14 including the organic substance removal filter.
  • Chemical Fill 14 organic matter removal filter
  • absorbent gas removal filter 15 is installed upstream of absorbent gas removal filter 15.
  • valves V5 and V6 and the exhaust pipe (connected to the pipe 9C) equipped with the valve V8 are used to replace the gas in the gas circulation mechanism.
  • Equipment Regard the method of gas replacement in the gas circulation mechanism, valves V5, V6, V4, V8, V7 correspond to valves V9, VI0, VII, V3, V2 respectively.
  • the gas can be replaced by various methods similar to the gas replacement in the airtight unit 8 described above, detailed description is omitted.
  • the structure of the residual gas concentration meter 11B is the same as that of the residual gas concentration meter 11A shown in FIG.
  • the above gas replacement is necessary not only when the assembly adjustment of the projection exposure apparatus is completed at a semiconductor manufacturing plant or the like, but also when, for example, the operating projection exposure apparatus is restored after maintenance. Particularly in the wafer stage room 6 and the reticle stage room 4, maintenance is frequently required, and early recovery after maintenance is extremely important to increase the operation rate of the equipment. Therefore, in this example, when the gas replacement of each hermetic unit (hermetic units 2 and 3 to wafer stage chamber 6) is interrupted for maintenance, the space where outside air (air) enters as much as possible is reduced. The configuration is limited so that return after maintenance (regas replacement) can be completed in a short time.
  • FIG. 2 when performing maintenance of the device inside the airtight unit 8 (the beam matching unit BMU to the wafer stage system WST in FIG. 1), the air supply pipe connecting the gas replacement unit S and the airtight unit 8 is maintained.
  • the valves V 12 and VI in the exhaust pipe S i and the exhaust pipe Se are closed, and the air flowing into the airtight unit 8 during maintenance flows into the gas exchange unit S. Not to be.
  • the airtight unit 8 is gas-replaced in the same manner as the gas replacement described above. This prevents the air from flowing into the gas replacement unit S (gas circulation mechanism), thereby reducing the time required for return.
  • valves V2 and V7 are closed during maintenance to prevent the intrusion of air from entering into the airtight unit 8. Can be shortened.
  • valves and pipes for supplying and exhausting low-absorbent gas are installed between the blower pump 12 and the various filters 13, 14, and 15 in the gas circulation mechanism, and each part is independent.
  • the gas may be replaced. As a result, it is possible to further shorten the recovery time when performing maintenance or replacing parts.
  • the purity of the low-absorbent gas in the device may be reduced.
  • valves VI, VI, V2, V4, V7, V8, etc. it is desirable to close the valves VI, VI, V2, V4, V7, V8, etc., and seal the internal gas in each part.
  • the opening and closing of the valves described in the above embodiments are all automatically performed based on commands from the control device 17 of the exposure apparatus, and the operation sequence of each valve is also controlled by the main control system 1. 8 programs Needless to say.
  • the concentration of absorbing gas such as oxygen contained in the low-absorbing gas used must be kept below 1 ppm. Therefore, in the factory where the exposure equipment is installed, if the low-absorbing gas supplied by the factory piping does not satisfy this condition, the oxygen between the factory piping and the supply piping 9A, 9B It is necessary to install a gas purifier such as a removal filter and a water vapor removal filter.
  • the structure before exposure of the circuit pattern is formed by techniques such as ultrasonic cleaning, spraying of fluid such as clean dry air, and vacuum heating degassing (baking). It is advisable to keep the surface of the material clean and take measures to reduce the amount of outgassing from the surface of the structural material.
  • light-absorbing substances such as hydrocarbons and halides are released from electric wire covering substances, sealing materials (such as o-rings), adhesives, and the like present in the optical path space.
  • a wire covering material including hydrocarbons and halides, a sealing material (e.g., a ring), an adhesive, and the like are not installed as much as possible in the optical path space, or a material that emits a small amount of gas is used. If the amount of the light-absorbing substance generated is fundamentally suppressed, the effect of the present invention can be further obtained in the same manner as the treatment for the water molecule. You.
  • the casing (a cylindrical body or the like is also possible) that constitutes the wafer stage chamber 6 from the airtight unit 2 and the pipe that supplies helium gas, etc. are made of a material with a small amount of impurity gas (degas), for example, Stainless steel (the interior may be oxidized to form chromium oxide, etc.), tetrafluoroethylene, tetrafluoroethylene-terfluoro (alkyl vinyl ether), or tetrafluoroethylene-hexa It is desirable to form with various polymers such as a fluoropropene copolymer.
  • impurity gas for example, Stainless steel (the interior may be oxidized to form chromium oxide, etc.), tetrafluoroethylene, tetrafluoroethylene-terfluoro (alkyl vinyl ether), or tetrafluoroethylene-hexa
  • Stainless steel the interior may be oxidized to form chromium oxide, etc.
  • a cable for supplying electric power to a drive mechanism (reticle blind, stage, etc.) in each housing is similarly coated with the above-described material with a small amount of impurity gas (degas).
  • the lens chamber in which the space between the plurality of optical elements constituting the illumination optical system ILU in FIG. 1 or the plurality of optical elements constituting the projection optical system PL is sealed.
  • an air supply pipe Si and an exhaust pipe Se from the gas replacement unit are provided for each of these lens chambers, and replacement with a low-absorbing gas is performed independently for each lens chamber. You may.
  • the concentration of the light-absorbing substance may be controlled at different allowable concentrations.
  • the reticle stage chamber 4 and the wafer stage chamber 6 have a movable mechanism such as a stage, the reticle stage chamber 4 and the wafer stage chamber 6 allow the airtight unit 3 and the tolerance inside the projection optical system PL to move.
  • the light-absorbing substance may be managed at an allowable concentration higher than the concentration.
  • the reticle stage room 4 and wafer stage room 6 have stage positions.
  • a laser interferometer for performing the position measurement is provided.
  • a change in the concentration of the low-absorbing gas in the optical path of the measurement light beam of the laser interferometer may cause fluctuations in the optical path. Therefore, it is desirable to arrange a low-absorbing gas concentration sensor in the optical path and to manage the concentration of the low-absorbing gas near the optical path based on the measured value.
  • the present invention can be applied not only to a projection exposure apparatus, but also to a proximity type exposure apparatus, a contact type exposure apparatus, and the like.
  • a refraction system is used as the projection optical system PL.
  • a reflection system or a catadioptric system may be used as the projection optical system PL.
  • a refraction system and two reflection plates each having an opening near the optical axis are disclosed.
  • the catadioptric system including a mirror the catadioptric system can be configured in the form of a straight cylinder, similarly to the dioptric system.
  • the magnification of the projection optical system is not limited to the reduction system, and may be any of the same magnification and the enlargement system.
  • the projection exposure apparatus adjusts the illumination optical system and the projection optical system, and assembles the components by electrically, mechanically, or optically connecting the components.
  • the air-tight units 2, the air-tight units 3, the reticle stage room 4, and the reticle stage room 4 surround the beam matching unit BMU, the illumination optical system ILU, the reticle stage system RST, and the wafer stage system WS, respectively. Assemble the wafer stage chamber 6 and make the inside of the lens barrel 5 of the projection optical system PL airtight.
  • a system for conversion is set up. In this case, it is desirable to perform the work in a clean room where the temperature has been controlled.
  • the sealed space in the present invention refers to a state in which gas does not flow between the internal space and the external space, or a flow of gas between the internal space and the external space. This indicates a state in which the pressure in the internal space is set higher than the pressure in the external space so that the inflow of gas into the space is suppressed and the gas flows out from the internal space to the external space.
  • a device such as a semiconductor element is manufactured by subjecting the wafer exposed as described above to a developing step, a pattern forming step, a bonding step, a packaging step, and the like.
  • the present invention can be applied not only to semiconductor devices but also to display devices such as liquid crystal display devices and plasma displays, as well as thin film magnetic heads.
  • a reticle or mask used in an exposure apparatus for manufacturing a device for manufacturing a semiconductor element or the like using an exposure apparatus using, for example, far ultraviolet light (DUV light) or vacuum ultraviolet light (VUV light)
  • the projection exposure apparatus of the embodiment can be suitably used.
  • the present invention can also be applied to a step-and-stitch type reduction projection exposure apparatus that uses, for example, far ultraviolet light or vacuum ultraviolet light as exposure illumination light.
  • a single-wavelength laser in the infrared or visible range oscillated from a DFB semiconductor laser or a fiber laser as the illumination light for exposure may be used, for example, Erbium (Er) (or Erbium and Ytterbium (Yb)). Both may be amplified by a fiber amplifier with a doping, and a harmonic converted to ultraviolet light using a nonlinear optical crystal may be used.
  • Er Erbium
  • Yb Ytterbium
  • the oscillation wavelength of a single-wavelength laser is in the range of 1.54 to 1.55 3 ⁇ m
  • the 8th harmonic in the range of 193 to 194 nm, that is, A r F Almost same as excimer laser Assuming that an ultraviolet light having a wavelength is obtained and the oscillation wavelength is in the range of 1.57 to 1.58 ⁇ im, the 10th harmonic in the range of 157 to 158 nm, that is, F 2 Ultraviolet light with almost the same wavelength as the laser is obtained.
  • the replacement when a gas in a space including at least a part of the optical path of an exposure beam is replaced with a gas that the exposure beam transmits, degassing generated around the space And so on, the replacement can be performed stably. Therefore, especially in an exposure apparatus that uses light having a wavelength in the vacuum ultraviolet region, it is possible to efficiently replace the space including the optical path with a low-absorbing gas and suppress absorption of an exposure beam. And a sufficient exposure light power can be obtained.
  • the gas in the space including the optical path of the exposure beam is replaced with the first gas in advance, and then replaced with the second gas, thereby providing, for example, high performance.
  • the consumption of the second gas can be reduced. Therefore, it is possible to reduce the operating cost required for gas replacement.
  • the above-described exposure method of the present invention can be easily or efficiently performed.
  • a device having an extremely fine circuit pattern can be manufactured by using an exposure beam of an extremely short wavelength, and the intensity of the exposure beam can be maintained high. Birds improve

Landscapes

  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Engineering & Computer Science (AREA)
  • Epidemiology (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

露光方法及び装置
技術分野
本発明は、 例えば半導体集積回路、 撮像素子 (CCD等) 、 液晶ディ スプレイ、 プラズマディスプレイ、 又は薄膜磁気ヘッド等のデバイスを 明
リソグラフィ技術を用いて製造する際に、 マスクパターンをウェハ等の 細
基板上に転写する工程で使用される露光方法及び装置に関し、 特に露光 ビームとして真空紫外光 (VUV光) を用いる場合に好適なものである。
背景技術
半導体集積回路等を製造する際に使用される投影露光装置においては、 回路の微細化に対応して解像度を高めるために、 露光ビームとしての露 光光の波長が次第に短波長側にシフトしてきている。 現在、 露光光とし ては K r Fエキシマレ一ザ (波長 248 nm) が主流となっているが、 より短波長の真空紫外域の A r Fエキシマ一レーザ (波長 1 93 nm) も実用化段階に入りつつある。 そして、 更に短波長の F2 レーザ (波長 1 57 nm) や、 A r 2 レーザ (波長 1 26 nm) 等の、 真空紫外域中 でも更に波長が短い 1 80 nm程度以下の波長帯の露光光を使用する投 影露光装置の提案も行われている。
そのように波長が 1 80 nm程度以下の露光光に対しては、 通常の光 学ガラスでは透過率が低下してしまい、 屈折光学部材及び透過型のフォ トマスクとしてのレチクルの基板に使用可能な光学材料は、 フッ素等を ドープした石英ガラス (S i〇2)と、 蛍石 (C a F2)、 フッ化マグネシ ゥム (MgF2)、 及びフッ化リチウム (L i F) 等の結晶等とに限定さ れる。 また、 真空紫外域のように波長がほぼ 2 0 0 n m程度以下の露光 光は、 酸素、 水蒸気、 及び炭化水素系の気体等 (以下、 「吸収性ガス」 と呼ぶ。)による吸収も極めて大きいため、 例えば酸素に関しては、 光路 中の平均濃度を P p mオーダー程度まで抑える必要がある。 そこで、 真 空紫外光を露光光とする場合には、 露光光の光路をほぼ真空とするか、 又はその光路上の酸素等の吸収性ガスを含む気体を、 吸収の少ない気体 で置換する必要がある。 なお、 露光光の光路の全体をほぼ真空とする場 合には、 フォトマスクのライブラリや被露光基板としてのウェハの搬送 ライン等は空気中にあるため、 フォトマスクゃウェハの交換を行うため の減圧室 (予備室) を設ける必要がある。 そのため、 フォトマスクゃゥ ェハの交換時間が長くなり、 露光工程のスループッ 卜が低下してしまう。 そこで、 以下では、 露光光の光路上の気体を吸収の少ない気体、 即ち露 光光が透過する気体で置換する場合について考える。
上記の如く露光光として、 真空紫外域中でも波長が 1 8 0 n m程度以 下の光を使用する投影露光装置においては、 光路上での露光光の吸収を 抑えてウェハ上で高い照度を得るために、 屈折光学部材及びレチクルの 基板として所定の吸収の少ない光学材料を使用すると共に、 光路上の気 体を吸収の少ない気体で置換する必要がある。 しかしながら、 例えば露 光光を吸収する外気が光路上の気体に混入したり、 その光路に接する鏡 筒の内壁等から露光光を吸収する吸収性ガスを含む脱ガスが発生したり することによって、 その光路上の気体中の吸収性ガスの残留濃度が所定 の規格値を超えると、 ウェハ (被露光基板) 上での露光エネルギーが著 しく低下することになる。 また、 吸収性ガスの残留濃度の時間的変動や 光路内での分布ムラによって光路内の露光光の吸収率が変動し、 ウェハ 上での露光エネルギーが不安定になったり、 露光ショット内での照度ム ラが生じる恐れもある。 また、 光路のガス置換に関しては、 露光光としての真空紫外光が透過 する気体 (窒素、 希ガス等) を露光中に数時間に亘つて継続的にフロー する方法や、 投影露光装置の光路を密閉する機構に耐圧性を持たせ、 始 めに光路内をほぼ真空に引いてからその気体を充填する方法が提案され ている。 しかしながら、 前者のようにその気体を継続的にフローする方 法では、 長時間その気体のフローを行うことになつて消費する気体の量 が多くなり、 運転コストが増大するという不都合がある。 特にその気体 としてヘリゥムのように高価な気体を使用する場合には、 投影露光装置 の運転コス卜が大幅に増大する。
また、 後者のように光路内をいつたんほぼ真空として、 そこに露光光 が透過する気体を充填する方法では、 真空に減圧する過程で、 光学系の 鏡筒等の構成材料から露光光を吸収する不純物が離脱して、 それらがレ ンズゃミラーの表面を汚染してしまうと言う問題がある。
なお、 真空引きを行うことなくガス置換を行う場合にも、 ガス置換終 了後のその露光光が透過する気体が充満した状態 (定常状態) において、 上記構成材料の表面に吸着している不純物等の脱離は或る程度は生じる。 そのため、 ガス置換完了後も、 光路内の気体を順次所定の割合で循環さ せる (置換する) ことによって、 継続的に不純物を除去する必要がある。 本発明は斯かる点に鑑み、 露光ビームの少なくとも一部の光路上の気 体をその露光ビームが透過する気体で置換する場合に、 その置換を安定 に行うことができる露光方法を提供することを第 1の目的とする。
更に本発明は、 露光ビームの少なくとも一部の光路上の気体をその露 光ビームが透過する気体で置換する場合に、 少ない運転コストでその置 換を行うことができる露光方法を提供することを第 2の目的とする。 更に本発明は、 そのような露光方法を容易に、 又は効率的に実施でき る露光装置及び露光装置の製造方法を提供することを第 3の目的とする。 更に本発明は、 その露光方法を用いて高い照明効率で、 ひいては高い スループッ 卜でデバイスを製造できるデバイス製造方法を提供すること を第 4の目的とする。 発明の開示
本発明による第 1の露光方法は、 露光ビームで第 1物体 (4 1 ) を照 明し、 この第 1物体のパターンを通過した露光ビームで第 2物体 ( 6 1 ) を露光する露光方法において、 その露光ビームの光路の少なくとも一部 を含む空間 (BMU〜WS T) を密封し、 この密封された空間内にその 露光ビームが透過する所定の気体を第 1の気圧 (P 1 ) の近傍まで充填 するに際して、 その密封された空間内の気体をその第 1の気圧よりも低 い第 2の気圧 (P 2) の近傍まで減圧する減圧工程と、 その密封された 空間内にその所定の気体をその第 1の気圧とその第 2の気圧との間の気 圧 (P 3) まで供給する充填工程とを交互に複数回繰り返すものである。 斯かる本発明によれば、 その第 2の気圧を高真空にすることなく、 そ の減圧工程とその充填工程とを例えば 2回以上繰り返すことによって、 その空間内に例えば波長が 2 0 0 nm以下の光よりなる露光ビームが透 過する気体を高純度で満たすことができる。 この際に、 その空間内は高 真空状態にはならないため、 その空間の壁部材等から発生する不純物を 含む脱ガスの量は少なくなり、 その空間内での気体の置換を安定に行う ことができる。
この場合、 その第 1の気圧 (P 1 ) は一例として 9 0 0 h P a〜 1 1 0 0 h P a、 即ちほぼ 1気圧 (大気圧) であり、 その第 2の気圧 (P 2) は一例として 5 0 P a〜 1 0 k P aの範囲内、 即ちほぼ 0. 1〜 0. 0 0 1気圧であり、 その第 2の気圧はそれ程高真空にする必要は無い。 次に、 本発明の第 2の露光方法は、 露光ビームで第 1物体 (4 1 ) を 照明し、 この第 1物体のパターンを通過した露光ビームで第 2物体 ( 6 1 ) を露光する露光方法において、 その露光ビームの光路の少なくとも 一部を含む空間 (BMU〜WS T) を密封し、 この密封された空間をそ の露光ビームが透過する第 1の気体で置換する第 1の工程と、 これに続 いてその密封された空間をその第 1の気体と異なるその露光ビームが透 過する第 2の気体で置換する第 2の工程とを含むものである。
斯かる本発明によれば、 その空間内の気体をその露光ビームが透過す る気体で置換する際に、 その第 2の気体の使用量を減らすことができる。 従って、 一例としてその第 2の気体としてその第 1の気体よりも高価で あるが、 その第 1の気体よりもその露光ビームに対する透過率が良好で ある気体を用いることによって、 運転コストを低減できる。
次に、 本発明の第 1の露光装置は、 露光ビームで第 1物体 (4 1 ) を 照明し、 この第 1物体のパターンを通過した露光ビームで第 2物体 (6 1 ) を露光する露光装置において、 その露光ビームの光路の少なくとも 一部を含む空間 (BMU〜WS T) を密封する気密室 (2〜6) と、 こ の気密室内にその露光ビームが透過する所定の気体を供給する気体供給 装置 (S 2〜S 6) とを備え、 その気体供給装置は、 その所定の気体に 含まれる酸素又は水蒸気の少なくとも一方を除去する吸光気体除去フィ ル夕 ( 1 5) を含む不純物除去フィル夕を有するものである。
この露光装置を用いて、 例えば上記の露光方法によって気体の置換が 行われた後の気密室内の気体を循環させることによって、 その気密室内 の気体を高純度の状態に維持できる。
また、 本発明の第 2の露光装置は、 露光ビームで第 1物体を照明し、 この第 1物体のパターンを通過した露光ビームで第 2物体を露光する露 光装置において、 その露光ビームの光路の少なくとも一部を含む空間 (BMU〜WS T) を密封する気密室 ( 2〜6) と、 この気密室内にそ の露光ビームが透過する所定の気体を供給する気体供給装置 (S 2〜S 6) と、 その気密室内の空間に残留する所定の残留気体の濃度を計測す る気体濃度計測装置 ( 1 1 2) と、 その気密室内の空間とその気体濃度 計測装置との間の気体の通路を開閉する開閉機構 (V 1 3 , V 1 4) と を有するものである。
斯かる第 2の露光装置によれば、 その空間内の気体の交換を行うため に、 その空間内の気圧を低くするような場合に、 その開閉機構を閉じて その気体濃度計測装置とその空間とを切り離すことによって、 その気体 濃度計測装置を保護することができる。 従って、 上記の本発明の露光方 法を実施する際に気密室内の気体濃度を安定的に計測することができる。
また、 本発明による第 3の露光装置は、 露光ビームで第 1物体を照明 し、 この第 1物体のパターンを通過した露光ビームで第 2物体を露光す る露光装置において、 その露光ビームの光路の少なくとも一部を含む空 間 (BMU〜WS T) を密封する気密室 (2〜 6) と、 この気密室内に その露光ビームが透過する所定の気体を供給する気体供給装置 (S 2〜 S 6) と、 この気体供給装置によるその所定の気体の供給路中に設けら れた開閉自在の遮断弁 (V 1 2, V I ) と、 その露光装置のメンテナン ス時及び緊急時にその遮断弁を閉じてその気密室へのその所定の気体の 供給を停止させる制御装置 ( 1 7 , 1 8) とを有するものである。 斯か る露光装置によれば、 メンテナンス時及び緊急時にその遮断弁を閉じて、 その気密室内に外気を導入して所定の作業を行った後に、 再びその遮断 弁を開くことによって、 その気密室内に短時間でその露光ビームが透過 する気体を充填することができる。 従って、 本発明の露光方法を効率的 に実施することができる。
また、 本発明の第 4の露光装置は、 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過した露光ビームで第 2物体を露光する露光 装置において、 その露光ビームの光路の少なくとも一部を含む空間を密 封する気密室と、 この気密室内にその露光ビームが透過する所定の気体 を第 1の気圧の近傍まで供給する気体供給装置とを備え、 この気体供給 装置は、 その気密室内の気体をその第 1の気圧よりも低い第 2の気圧ま で減圧する減圧機構と、 その気密室内にその所定の気体をその第 1の気 圧とその第 2の気圧との間の気圧まで充填する充填機構と、 その減圧と その充填とを複数回繰り返すようにその減圧機構とその充填機構とを制 御する制御装置とを有するものである。
また、 本発明の第 5の露光装置は、 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過した露光ビームで第 2物体を露光する露光 装置において、 その露光ビームの光路の少なくとも一部を含む空間を密 封する気密室と、 その露光ビームが透過する第 1の気体をその気密室内 に供給する第 1の気体供給装置と、 その第 1の気体とは種類が異なると 共にその露光ビームが透過する第 2の気体をその気密室内に供給する第 2の気体供給装置と、 その第 1及び第 2の気体供給装置による気体の供 給量を調整する調整装置とを備えたものである。
これらの第 4及び第 5の露光装置によって、 それぞれ本発明の第 1及 び第 2の露光方法を実施することができる。
次に、 本発明のデバイス製造方法は、 本発明の露光方法、 又は本発明 の露光装置を用いてデバイスパターンをワークピース (6 1 ) 上に転写 する工程を含むものである。 本発明の露光方法の使用によって露光ビー ムの光路の透過率が高く維持されて、 そのワークピース上での露光ビー ムの照度 (露光エネルギー) が高く維持されるため、 露光工程のスルー プッ 卜が向上し、 デバイスを高いスループッ卜で生産できる。
次に、 本発明による第 1の露光装置の製造方法は、 露光ビームで第 1 物体を照明し、 この第 1物体のパターンを通過した露光ビームで第 2物 体を露光する露光装置の製造方法において、 その露光ビームの光路の少 なくとも一部を含む空間を密封する気密室と、 この気密室内にその露光 ビームが透過する所定の気体を供給し、 この所定の気体に含まれる酸素 又は水蒸気の少なくとも一方を除去する吸光気体除去フィルタを含む不 純物除去フィル夕を有する気体供給装置とを所定の位置関係で組み上げ るものである。
また、 本発明による第 2の露光装置の製造方法は、 露光ビームで第 1 物体を照明し、 この第 1物体のパターンを通過した露光ビームで第 2物 体を露光する露光装置の製造方法において、 その露光ビームの光路の少 なくとも一部を含む空間を密封する気密室と、 この気密室内にその露光 ,ビームが透過する所定の気体を供給する気体供給装置と、 その気密室内 の空間に残留する所定の残留気体の濃度を計測する気体濃度計測装置と、 その気密室内の空間とその気体濃度計測装置との間の気体の通路を開閉 する開閉機構とを所定の位置関係で組み上げるものである。
次に、 本発明による第 3の露光装置の製造方法は、 露光ビームで第 1 物体を照明し、 この第 1物体のパターンを通過した露光ビームで第 2物 体を露光する露光装置の製造方法において、 その露光ビームの光路の少 なくとも一部を含む空間を密封する気密室と、 この気密室内にその露光 ビームが透過する所定の気体を供給する気体供給装置と、 この気体供給 装置によるその所定の気体の供給路中に設けられた開閉自在の遮断弁と、 その露光装置のメンテナンス時及び緊急時にその遮断弁を閉じてその気 密室へのその所定の気体の供給を停止させる制御装置とを所定の位置関 係で組み上げるものである。
また、 本発明による第 4の露光装置の製造方法は、 露光ビームで第 1 物体を照明し、 この第 1物体のパターンを通過した露光ビームで第 2物 体を露光する露光装置の製造方法において、 その露光ビームの光路の少 なくとも一部を含む空間を密封する気密室と、 この気密室内にその露光 ビームが透過する所定の気体を第 1の気圧の近傍まで供給し、 その気密 室内の気体をその第 1の気圧よりも低い第 2の気圧まで減圧する減圧機 構と、 その気密室内にその所定の気体をその第 1の気圧とその第 2の気 圧との間の気圧まで充填する充填機構と、 その減圧とその充填とを複数 回繰り返すようにその減圧機構とその充填機構とを制御する制御装置と を有する気体供給装置とを所定の位置関係で組み上げるものである。 また、 本発明による第 5の露光装置の製造方法は、 露光ビームで第 1 物体を照明し、 この第 1物体のパターンを通過した露光ビームで第 2物 体を露光する露光装置の製造方法において、 その露光ビームの光路の少 なくとも一部を含む空間を密封する気密室と、 その露光ビームが透過す る第 1の気体をその気密室内に供給する第 1の気体供給装置と、 その第 1の気体とは種類が異なると共にその露光ビームが透過する第 2の気体 をその気密室内に供給する第 2の気体供給装置と、 その第 1及び第 2の 気体供給装置による気体の供給量を調整する調整装置とを所定の位置関 係で組み上げるものである。 図面の簡単な説明
図 1は、 本発明の実施の形態の一例で使用される投影露光装置を示す 概略構成図である。 図 2は、 図 1中の代表的なガス置換ユニット S及び 対応する気密ユニッ ト 8を示す構成図である。 図 3は、 図 2中の濃度計 1 1 A (又は濃度計 1 1 B ) の構成例を示す図である。 図 4は、 本発明 の実施の形態において、 減圧工程と低吸収性ガスの充填工程とを繰り返 す場合の気密ユニッ ト内の気圧変化の状態を示す図である。 図 5は、 本 発明の実施の形態における気密ュニッ卜のガス置換動作を示すフローチ ヤー卜である。 発明を実施するための最良の形態
以下、 本発明の好適な実施の形態の一例につき図面を参照して説明す る。 本例は、 露光ビームとして波長が 200 nm程度以下の光、 即ちほ ぼ真空紫外光 (VUV光) とみなすことができる光を使用する投影露光 装置で露光を行う場合に本発明を適用したものである。
図 1は本例の投影露光装置を示す概略構成図であり、 この図 1におい て、 露光光源 1として発振波長が 1 57 nmの F2 レーザ (フッ素レ一 ザ) が使用されている。 ただし、 露光光源 1としては、 波長 146 nm の K r 2 レ一ザ (クリプトンダイマーレーザ) 、 波長 1 26 nmの A r 2 レーザ (アルゴンダイマ一レーザ) 、 又は YAGレーザの高調波発生 装置や半導体レーザの高調波発生装置などの他の真空紫外光を発生する 光源を使用することができる。 露光光源 1から発せられた露光ビームと しての紫外レーザビームよりなる露光光 I Lは、 ビームマッチングュニ ッ ト BMU、 及び照明光学系 I LUを介してマスクとしてのレチクル 4 1を照明する。 レチクル 4 1を通過した露光光 I Lは、 投影光学系 PL を介して被露光基板としてのウェハ (wafer) 6 1上に、 レチクル 41の パターンの縮小像を形成する。 レチクル 4 1及びウェハ 6 1がそれぞれ 本発明の第 1物体及び第 2物体に対応している。 以下、 投影光学系 PL の光軸 AXに平行に Z軸を取り、 Z軸に垂直な平面内で図 1の紙面に平 行に X軸を、 図 1の紙面に垂直に Y軸を取って説明する。
まずビームマッチングュニッ ト BMUにおいて、 露光光源 1からの露 光光 I Lは、 リレーレンズ 2 1、 光路折り曲げ用のミラ一 22、 リレ一 レンズ 23、 リレーレンズ 24を経て照明光学系 I LUに向かう。 そし て、 照明光学系 I LUにおいて、 ビームマッチングユニッ ト BMUから の露光光 I Lは、 オプティカル ·インテグレー夕 (ホモジナイザー) と 1 1 してのフライアイレンズ 3 1に入射する。 フライアイレンズ 3 1の射出 面には照明系の開口絞り (σ絞り) 3 2が配置されている。 なお、 フラ ィアイレンズ 3 1の代わりにロッドレンズを使用してもよい。
開口絞り 3 2を通過した露光光 I Lは、 リレーレンズ 3 3、 光路折り 曲げ用のミラー 3 4、 リレーレンズ 3 5を経て視野絞り (レチクルブラ インド) 3 6に至り、 視野絞り 3 6を通過した露光光 I Lは、 コンデン サレンズ 3 7、 光路折り曲げ用のミラー 3 8、 及びコンデンサレンズ 3 9を介してレチクル 4 1を照明する。 上記のビームマッチングュニッ ト B M U、 及び照明光学系 I L Uは、 それぞれ気密性の高い、 かつ所定の 耐圧性を有する箱状の第 1の気密ュニッ ト 2及び第 2の気密ュニット 3 内に外気から隔離された状態で密封されている。
また、 レチクル 4 1はレチクルステージ 4 2上に真空吸着等によって 保持され、 レチクルステージ 4 2はレチクルべ一ス 4 3上を X方向に連 続移動 (走査) 自在に、 かつ X方向、 Y方向、 回転方向に微動できるよ うに載置されている。 レチクルステージ 4 2の X方向、 Y方向の位置、 及び 3軸の回りの回転角は不図示のレーザ干渉計によって計測され、 こ の計測値及び不図示の装置全体の動作を統轄制御する主制御系からの制 御情報に基づいて、 不図示のレチクルステージ駆動系がレチクルステー ジ 4 2の動作を制御する。 レチクルステージ 4 2及びレチクルべ一ス 4 3よりレチクルステージ系 R S Tが構成され、 レチクルステージ系 R S Tは、 気密性の高い隔壁よりなる箱状のレチクルステージ室 4で外気か ら隔離されるように覆われている。 レチクルステージ室 4は、 第 3の気 密ュニッ ト 4とも呼ぶことができる。
そして、 レチクル 4 1を通過した露光光 I Lは、 レチクル 4 1上の照 明領域内のパターンを投影光学系 P Lを介して投影倍率 /3 ( は例えば 1 / 4 , 1 / δ , 1 Z 6等) で縮小した像をウェハ 6 1上に露光する。 投影光学系 P Lは、 光軸 AXに沿ってレチクル 4 1側から順にレンズ系 5 1, 5 2, 5 3, 54を配置して構成されている。 ウェハ 6 1上には フォトレジスト (感光材料) が塗布されており、 ウェハ 6 1は例えば半 導体 (シリコン等) 又は S O I (silicon on insulator)等からなる円板 状の基板である。 また、 投影光学系 P Lは、 気密性が高く、 かつ高い耐 圧性を有する鏡筒 5内に外気から隔離された状態で収納されており、 鏡 筒 5は第 4の気密ュニッ トとも呼ぶことができる。
一方、 ウェハ 6 1は、 ウェハホルダ 6 2上に真空吸着等によって保持 され、 ウェハホルダ 6 2はウェハステージ 6 3上に固定され、 ウェハス テージ 6 3は不図示のウェハベース上に X方向に連続移動 (走査) 自在 に、 かつ X方向及び Y方向にステップ移動自在に載置されている。 ゥェ ハステージ 6 3の X方向、 Y方向の位置、 及び 3軸の回りの回転角 (ョ 一イング量、 ピッチング量、 ローリング量) は不図示のレーザ干渉計に よって計測され、 この計測値及び不図示の主制御系からの制御情報に基 づいて、 不図示のウェハステージ駆動系がウェハステージ 6 3の動作を 制御する。 更に、 ウェハステージ 6 3は、 不図示のオートフォーカスセ ンサの計測値に基づいてウェハ 6 1の表面を投影光学系 P Lの像面に合 焦させる。 ウェハホルダ 6 2、 ウェハステージ 6 3及びウェハベース (不図示) 等よりウェハステージ系 WS Tが構成され、 ウェハステージ 系 WS Tは、 気密性の高い隔壁よりなる箱状のウェハステージ室 6で外 気から隔離されるように覆われている。 ウェハステージ室 6は、 第 5の 気密ュニッ ト 4とも呼ぶことができる。
露光時には、 レチクル 4 1を X方向に一定速度 VRで走査するのに同 期して、 ウェハ 6 1上の一つのショット領域を X方向に一定速度 3 - V R ( 3は投影光学系 P Lの投影倍率) で走査する動作と、 次のショッ ト 領域を走查開始位置に移動するためにウェハ 6 1をステップ移動する動 作とがステツプ · アンド · スキャン方式で繰り返されて、 ウェハ 6 1上 の全部のショッ 卜領域への露光が行われる。 このように本例の投影露光 装置は走査露光方式であるが、 ステッパーのような一括露光型の投影露 光装置にも本発明が適用できることは言うまでも無い。
さて、 本例のように真空紫外域の光を露光光 I Lとする場合には、 そ の光路からその露光光 I Lに対する吸収率の大きい (即ち、 透過率の低 レ 物質、 即ち酸素、 水蒸気、 及び炭化水素系の気体等の 「吸収性ガス」 を排除する必要がある。 そこで本例の投影露光装置では、 その光路上に、 露光光 I Lが透過する気体、 即ち真空紫外域の光に対する吸収率の低い 気体 (以下、 「低吸収性ガス」 と呼ぶ。)を供給する気体供給装置を備え ている。 低吸収性ガスとして本例では、 いわゆる不活性ガス、 即ち窒素 ガス (N 2)又はヘリウム (H e ) 、 ネオン (N e ) 、 アルゴン (A r ) 、 クリプトン (K r ) 、 キセノン (X e ) 、 若しくはラドン (R n ) より なる希ガスを使用する。 更に、 その低吸収性ガスとして、 2種類以上の 不活性ガスの混合気体を用いてもよい。
ここで本例の気体供給機構につき説明する。 図 1において、 本例の投 影露光装置の第 1の気密ュニッ ト 2の上部、 及び第 2の気密ュニッ ト 3、 レチクルステージ室 4、 投影光学系 P Lの鏡筒 5、 及びウェハステージ 室 6は、 半導体製造工場の内部の或るクリーンルーム内に設置されてお り、 露光光源 1及び第 1の気密ユニッ ト 2の下部は例えばそのクリーン ルームの階下の機械室に設置されている。 そして、 その機械室内に、 真 空紫外域の光が透過する第 1の低吸収性ガス G Aを発生する第 1の気体 源 (不図示) と、 第 1の低吸収性ガス G Aとは異なる真空紫外域の光が 透過する第 2の低吸収性ガス G Bを発生する第 2の気体源 (不図示) と が設置されている。 そして、 第 1の低吸収性ガス G A及び第 2の低吸収 性ガス G Bはそれぞれ第 1の配管 9 A及び第 2の配管 9 Bを介して、 ガ ス置換ユニッ ト S 2, S 3, S 4 , S 5, S 6に供給されている。 ガス 置換ユニット S 2, S 3, S 4 , S 5及び S 6は、 それぞれ給気管 S i n及び排気管 S e n (n = 2〜6) を介してビームマッチングユニッ ト BMUを囲む第 1の気密ュニッ ト 2、 照明光学系 I LUを囲む第 2の気 密ュニッ 卜 3、 レチクルステージ系 R S Tを囲むレチクルステージ室 4、 投影光学系 P Lを囲む鏡筒 5、 及びウェハステージ系 WS Tを囲むゥェ ハステージ室 6に接続され、 ガス置換ュニット S 2〜S 6はそれぞれ対 応する気密ユニッ ト (気密ユニッ ト 2〜ウェハステージ室 6) 内の気体 の置換を行う。
本例では一例として、 その第 1の低吸収性ガス G Aとしては、 窒素ガ スを使用し、 その第 2の低吸収性ガス GBとしては、 ヘリウム、 又はネ オンの希ガスを使用する。 この場合、 上記の各気体の屈折率 (D線に関 する値) はそれぞれ次のようになる。
窒素 (N2) 1. 0 0 0 2 9 7
ネオン (N e) 1. 0 0 0 0 6 7
ヘリウム (H e) 1. 0 0 0 0 3 5
また、 上記の各気体の 0°Cにおける熱伝導率はそれぞれ次のようにな る。
2. 40
ネ才ン 4. 6 5
ヘリゥム 1 4. 2 2
以上から分かるように、 第 2の低吸収性ガス GB (希ガス) は、 第 1 の低吸収性ガス G A (窒素) に比べて屈折率が小さく、 気圧変動等に対 する屈折率の変動量も小さいため、 投影光学系 P Lの結像特性等が安定 する利点がある。 更に第 2の低吸収性ガス GBは、 第 1の低吸収性ガス G Aに比べて熱伝導率が良好で放熱効果が良好であるため、 内部の光学 部材等の温度の安定性にも優れている。 しかしながら、 第 2の低吸収性 ガス G Bは現状では第 1の低吸収性ガス G Aに比べて高価であるため、 露光装置の運転コストを低減するためには第 2の低吸収性ガス G Bの消 費量を低減することが望ましい。 そこで、 第 1の運用方法として、 例え ば第 1の気密ユニッ ト 2、 第 2の気密ユニット 3、 レチクルステージ室 4、 及びウェハステージ室 6のように内部の空間の体積が大きいが、 結 像特性にはあまり影響しない部分には安価な第 1の低吸収性ガス G Aを 主に供給し、 投影光学系 P Lの鏡筒 5の内部のように内部の空間の体積 はあまり大きくないが、 高い結像特性を維持する必要のある部分には高 性能の第 2の低吸収性ガス G Bを主に供給するようにしてもよい。 これ によって、 運転コストを抑えて高い結像特性が得られる。
また、 第 2の運用方法として、 例えば気密ユニッ ト 2 , 3、 レチクル ステージ室 4、 投影光学系 P Lの鏡筒 5、 及びゥェ八ステージ室 6の全 部、 又は何れかにおいて、 最初に内部の気体を安価な第 1の低吸収性ガ ス G Aでほぼ置換した後、 高性能の第 2の低吸収性ガス G Bで置換する ようにしてもよい。 この場合、 第 1の低吸収性ガス G Aが或る程度残留 しても、 露光光 I Lの透過率には殆ど影響しないため、 第 2の低吸収性 ガス G Aの置換はそれ程厳密に行う必要は無い。 これによつて、 最初か ら第 2の低吸収性ガス G Bで置換を行う場合に比べて、 第 2の低吸収性 ガス G Bの使用量を減らすことができ、 運転コストを抑えて高い結像特 性が得られる。
更に、 第 3の運用方法として、 例えば気密ユニッ ト 2, 3、 レチクル ステージ室 4、 投影光学系 P Lの鏡筒 5、 及びウェハステージ室 6の全 部、 又は何れかにおいて、 安価な第 1の低吸収性ガス G Aと高性能の第 2の低吸収性ガス G Bとを所定の割合で混合した気体で置換するように してもよい。 この方法でも、 第 2の低吸収性ガス G Bの消費量を抑えて 比較的高い結像性能等を得ることができる。
また、 ガス置換ユニッ ト S 2〜S 6には、 排気用の配管 9 C 1又は 9 C 2を介して真空ポンプ等を含む吸気装置 7が接続され、 吸気装置 7に よってガス置換ュニット S 2〜S 6からの吸収性ガス等を含む気体を排 気できるように構成されている。 また、 吸気装置 7によって排気された 気体 G Cは、 配管 9 Dを介して本例の投影露光装置が設置されている半 導体工場内の排気用配管 (不図示) 等に排気され、 塵や化学物質等の除 去が行われる。 なお、 低吸収性ガスを有効利用するために、 吸気装置 7 によって排気された気体 G Cから高純度の低吸収性ガスを分離し、 この ように分離された低吸収性ガスを再び配管 9 A, 9 Bに戻して再利用す るようにしてもよい。 特に、 再利用される低吸収性ガスをレチクルステ ージ室 4及びウェハステージ室 6に供給し、 気密ユニッ ト 2, 3及び投 影光学系 P Lの鏡筒 5には上記の第 1又は第 2の気体源から供給された 高純度の低吸収性ガスを供給するようにしてもよい。 これによつて、 運 転コストを更に低く して露光光の強度を高く維持できる。
続いて図 2を参照して、 各ガス置換ュニッ卜 S 2〜 S 6の詳細な構成 及び動作について説明する。 各ガス置換ュニッ ト S 2〜S 6の構成は、 気体の流量等を除けば互いに同一であるため、 それらの内から任意に選 択された一つのガス置換ユニッ ト S ( S 2〜S 6の何れか) にっき説明 する。 また、 ガス置換ユニット Sによってガス置換が行われる気密ュニ ッ ト (気密ユニッ ト 2〜ウェハステージ室 6の何れか) を気密ユニッ ト 8とする。
図 2はガス置換ュニッ 卜 S及び対応する気密ュニッ ト 8を示し、 この 図 2において、 投影露光装置の露光光の光路の一部を含む気密ュニッ ト 8とガス置換ユニッ ト Sとは、 例えば特殊なステンレススチール製の給 気管 S i及び排気管 S eを介して接続されている。 気密ュニット 8は、 1
17 前述の通り気密構造を有し、 給気管 S i より供給される低吸収性ガスは そのほぼ全てが排気管 S eより排気される。 給気管 S i及び排気管 S e の途中には、 それぞれ開閉自在のバルブ V 1 2及び V Iが設置されてい る。
先ず始めに、 気密ユニッ ト 8内のガス置換を行う際の基本的な動作に ついて説明しながら、 ガス置換ュニッ ト Sの構成につき説明する。
即ち、 不図示の気体源から配管 9 A, 9 Bに供給された低吸収性ガス G A, GBは、 それぞれ開閉自在のバルブ V 9 , V I 0を経て共通の開 閉自在のバルブ V 1 1を経て温度コントローラ 1 6の流入口に至る。 ノ ルブ V 1 1を開いてバルブ V 9, V 1 0の開閉制御を行うことによって、 低吸収性ガス GA、 低吸収性ガス GB、 又はこれらの混合気体の何れか を温度コントローラ 1 6に供給することができる。 また、 バルブ V I I を閉じることで、 配管 9 A, 9 Bからの低吸収性ガス GA, GBの供給 を停止することもできる。 温度コントローラ 1 6の流入口には別の開閉 自在のバルブ V 7が装着された配管も接続されている。 このとき、 バル ブ V 7が閉じられて、 バルブ V I 2 , V I Iが開かれて、 温度コント口 ーラ 1 6にて所定温度に温度制御された低吸収性ガスは、 流出口及び給 気管 S i を経て気密ュニット 8内に供給される。
始めに気密ュニッ ト 8内に空気が残存している場合には、 気密ュニッ ト 8内への上記低吸収性ガスの流入に伴って、 気密ユニット 8中の空気 は押し出されて、 排気管 S eを経て残留ガス用の濃度計 1 1 Aの流入口 に排気される。 濃度計 1 1 Aの流出口には開閉自在のバルブ V 2及び V 3が装着された配管が接続され、 バルブ V 2が装着された配管は送風ポ ンプ 1 2に接続され、 バルブ V 3が装着された配管は排気用の配管 9 C (図 1の配管 9 C 1 , 9 C 2に対応する) を介して吸気装置 7に接続さ れている。 また、 送風ポンプ 1 2は、 防塵フィル夕 1 3、 ケミカルフィ ル夕 1 4、 吸収性ガス除去フィル夕 1 5、 及び残留ガス用の濃度計 1 1 Bを介して開閉自在のバルブ V 8が装着された配管、 及びバルブ V 7が 装着された配管に接続され、 バルブ V 8が装着された配管は排気用の配 管 9 Cを介して吸気装置 7に接続されている。 更に、 送風ポンプ 1 2の 流入口には、 開閉自在のバルブ V 4が装着された配管も接続され、 この 配管はそれぞれ開閉自在のバルブ V 5及び V 6を介して配管 9 A及び 9 Bに接続されている。
濃度計 1 1 A, 1 1 Bはそれぞれ例えば酸素濃度計と水蒸気の濃度計 としての湿度計 (又は露点計も使用できる) とを組み合わせたセンサで あり、 濃度計 1 1 A, 1 1 Bはそれぞれ内部を通過する気体中の吸収性 ガス (ここでは例えば酸素及び水蒸気) の濃度を計測し、 計測結果をマ ィクロコンピュー夕よりなる制御装置 1 7に供給する。 ただし、 本例で は、 第 1の低吸収性ガス G Aで置換を行った後に、 第 2の低吸収性ガス G Bで置換を行うため、 濃度計 1 1 A , 1 1 Bには第 1の低吸収性ガス G A (窒素ガス) の濃度センサも組み込まれている。 制御装置 1 7は、 吸収性ガス及び第 1の低吸収性ガス G Aの濃度の計測値及び主制御系 1 8からの制御情報に基づいてバルブ V 1〜V 1 2の開閉の制御を行う。 本例では、 装置を組み立てた後、 又は装置の稼働前に、 気密ユニッ ト 8内の残留空気の押し出しを行うための基本的な動作として、 バルブ V 2を閉じ、 バルブ V 3を開けて、 濃度計 1 1 Aを通過して排気された気 密ュニッ ト 8内の残留空気を、 配管 9 Cを介して吸気装置 7によって排 気する。 上記ガス供給を数分から数時間継続することで、 気密ユニッ ト 8内の残留空気、 特に真空紫外光に対して強い吸収性を有する酸素や水 蒸気の残留濃度を、 p p mオーダーに低下させることができる。
ところで、 気密ユニッ ト 8内を置換する低吸収性ガスの種類としては、 光路を光学的に安定化させる目的で、 屈折率の圧力変化特性、 及び温度 変化特性の小さな気体が好ましく、 また、 及び光学系 (レンズ、 ミラ一) の冷却効果の点で、 熱伝導率の大きい低分子量の気体が好ましい。 そし て、 この両方の要求を満たす気体として、 最も好ましい気体はヘリウム であり、 ネオン、 アルゴン等の他の希ガスも適している。 しかしながら、 ヘリウム等の希ガスは高価であるため、 上記のような継続的フローによ り大量の気体を消費することは、 運転コス卜が上昇するために好ましく ない。
そこで本例では、 始めに価格の安い第 1の低吸収性ガス G A (窒素ガ ス) によるガス供給を行い、 気密ユニッ ト 8内の吸収性ガスを殆ど排気 した後に、 高性能な第 2の低吸収性ガス G B (希ガス、 望ましくはヘリ ゥム) での供給に切り替えて、 気密ユニッ ト 8内に希ガスを充填する方 式を採用する。 この場合、 窒素は露光光に対する吸収性が小さいので、 希ガスでの置換後に、 窒素が数%のオーダーで残存していても、 露光光 束に悪影響を与えることはない。 したがって、 希ガスでの置換に必要な 高価な希ガスの使用量を大幅に節約することが可能となり、 運用上での 気体のコストを大幅に削減することが可能となる。
具体的な方法としては、 まず、 図 5のステップ 2 0 1において、 図 2 中のバルブ V 9, V I I , V 1 2 , V I , V 3を開け、 バルブ V 1 0, V 7 , V 2を閉めて、 気密ユニッ ト 8内に第 1の低吸収性ガス G Aを供 給する。 そして、 ステップ 2 0 2において、 濃度計 1 1 Aで計測される 酸素、 水蒸気等の吸収性ガスの濃度が所定値 D A 1 (例えば 5 p p m) 以下となった段階で、 ステップ 2 0 3に移行して、 バルブ V 9を閉め、 バルブ V 1 0を開けて、 気密ュニッ 卜 8内に供給する気体を第 2の低吸 収性ガス G B (希ガス) に切り換える。 そして、 ステップ 2 0 4で計測 される第 1の低吸収性ガス G Aの残留濃度が許容値 D A 2 (例えば数%) 以下になるまで、 その第 2の低吸収性ガス G Bの供給を継続する。 これ によって、 気密ユニット 8内の気体は、 高濃度の第 2の低吸収性ガス G Bによって置換され、 気密ュニッ 卜 8内の光路を通過する露光光の透過 率が高く維持される。 この状態でステップ 2 0 5で露光が行われる。 なお、 第 2の低吸収性ガス G Bの供給後の第 1の低吸収性ガス G Aの 残留濃度に関しては、 数%の残留があっても特に不都合は無いため、 供 給時間だけの管理によって第 2の低吸収性ガス G Bの供給を終了するこ とも可能である。 このように供給時間だけの管理を行う場合には、 濃度 計 1 1 A, 1 1 Bに第 1の低吸収性ガス G Aの濃度の計測機能を持たせ る必要が無いため、 装置構成が簡素化される。
ところで、 上記のガス供給によるガス置換では、 吸収性ガスの残留濃 度を十分に低下させるために長時間を必要とする場合もある。 これを解 決するには、 ガス置換に際し、 始めに気密ユニッ ト 8の内部を真空に引 き、 そこへ低吸収性ガス G A , G Bを充填する方法もある。 勿論この場 合には、 各気密ユニッ ト (気密ユニット 2 , 3、 レチクルステージ室 4、 投影光学系 P Lの鏡筒 5、 ウェハステージ室 6 ) 力 内部の真空と外部 のほぼ大気圧との差圧に耐えられるような、 強固な構造である必要があ る。
このように、 真空に引いてからガス置換を行う方法は、 所要時間が短 く、 必要な低吸収性ガスの量も少なくて済むというメリッ 卜があるが、 気密ユニッ ト 8内を真空に引く過程で、 気密ユニッ ト 8内の各種構成物 から不純物を含む脱ガスが生じ、 発生した不純物がレンズ、 ミラ一等の 光学部材の表面に付着して光学部材の表面に曇り物質が形成され、 露光 光の透過率を低下させてしまう恐れがある。
そこで本例では、 ガス置換を短時間に行うための動作として、 その最 初の減圧での気密ユニッ ト 8内の気圧を、 各種構成物からの脱ガスが生 じない程度の低真空にとどめ、 光学部材の汚染を防止する方法を採用す る。
具体的に、 減圧を開始する前の気密ユニット 8内の気圧を P 1 (P I はほぼ 1気圧、 即ち P 1は 900 hP a〜 1 1 00 h P a程度である) として、 図 5のステップ 2 1 1において気密ュニッ ト 8内を所定の気圧 P 2 (P 2は P 1より低い) まで減圧するために、 図 2のバルブ V 7, V I I , V 2を閉じ、 バルブ V 1 2, V I, V 3を開けて、 排気用の配 管 9 Cの延長上の吸気装置 7を作動させる。 この際に、 吸気能力を向上 し、 吸気装置 7内の吸気機構からの発油等を抑制するために、 配管 9 C 上のバルブ V 3の近傍に真空ポンプ (ドライポンプ) を更に設置して、 この真空ポンプを用いて減圧を行っても良い。 また、 気密ユニッ ト 8内 の気圧を計測する圧力計 1 9を、 バルブ V 1 2から気密ュニッ ト 8まで の配管内、 気密ユニット 8からバルブ V 1までの配管内、 又は気密ュニ ット 8の内部の任意の箇所に設置しておき、 圧力計 1 9で計測される気 圧を制御装置 1 7に供給する。 制御装置 1 7は、 その気圧の計測値に基 づいて減圧及び加圧の制御を行う。
本例では、 図 4の実線の折れ線で示すように、 気密ユニッ ト 8内の気 圧を変化させる。 図 4において、 横軸は経過時間 t、 縦軸は気密ュニッ ト 8内の気圧 Pを示している。 そして、 ステップ 2 1 1での減圧は、 図 4の時点 t 0で開始されて、 時点 t 1で気密ュニッ ト 8内の気圧 Pが所 定の気圧 P 2に達するまで行われる。 その後、 図 2のバルブ V3を閉じ、 減圧が停止される。 その所定の気圧 P 2とは、 各種構成物からの脱ガス が生じない程度の低真空の気圧であり、 数値としては、 50 P aから 1 0 k P a程度である。
次に、 図 5のステップ 2 1 2に移行して、 図 4の時点 t 2において、 図 2のバルブ V 3を閉じて、 バルブ V 1 0 (又は V9) 及びバルブ V 1 1開き、 気密ユニッ ト 8内に低吸収性ガス GB (又は GA) を供給し、 気密ュニッ ト 8内にその低吸収性ガスを気圧 P 2より高い気圧 P 3まで 充填する。 気圧 P 3は気圧 P 1より低い気圧である。 時点 t 3で気密ュ ニッ ト 8の内部が気圧 P 3となってから、 バルブ V 1 0 (又は V9) 及 びバルブ V I 1を閉じ、 吸収性ガスの充填を終了。 それに続くステップ 2 1 3において、 ステップ 2 1 1 , 2 1 2を所定回数である m回 (mは 2以上の整数で、 本例では m= 3) 繰り返したかどうかを判定し、 m回 に達していない場合にはステツプ 2 1 1に戻って、 時点 t 4において、 再度バルブ V 3を開けて、 気密ュニット 8の内部を気圧 P 2まで減圧す る (時点 t 5) 。 その後ステップ 2 1 2で時点 t 6から t 7までに気密 ュニッ ト 8の内部に低吸収性ガスを気圧 P 3まで充填する。
その後、 本例ではステツプ 2 1 1 , 2 1 2を時点 t 8から t 1 0を超 える時点まで繰り返して実行した後、 ステップ 2 14に移行して、 最終 的に気密ユニッ ト 8内に低吸収性ガス GB (又は GA) を、 最初の気圧 P 1になるまで充填する。 この結果、 時点 t 1 1で気密ユニッ ト 8内が 気圧 P 1となってガス置換が完了する。 その後、 ステップ 2 1 5で露光 が行われる。 その最終的に露光が行われる際の気圧 P 1は、 通常は大気 圧 (ほぼ 1気圧) とすることが望ましいが、 真空紫外域でも F2 レーザ より短波長の光を露光光として使用する際には、 ガスによる吸収を避け るために、 大気圧よりも低めの気圧に設定することが望ましい。
本方式では、 気密ユニット 8の内部を、 高真空までは減圧しないため、 内部構造物からの脱ガスの発生を防止できる。 一方、 低真空 (気圧 P 2) までの減圧では、 気密ュニッ 卜 8の内部に吸収性ガスが残存してしまう 力 本例ではその気圧 P 2までの減圧と、 それより高い気圧 P 3までの 低吸収性ガスの充填とを m回繰り返すことにより、 その吸収性ガスの残 留濃度を、 気圧比 (=P 2ZP 3) の m乗 (繰り返し回数のべき乗) で 低減させることができる。 ところで、 上記の実施の形態では、 図 2において残留ガス用の濃度計 1 1 A , 1 1 Bが使用され、 濃度計 1 1 A, 1 1 B内には酸素濃度計、 水蒸気濃度計等のセンサ部が含まれている。 そのセンサ部には、 その構 造上、 減圧に耐えられないものも存在する。 例えば、 ポーラログラフ式 酸素濃度計、 及びジルコニァ式酸素濃度計等は、 減圧に耐えられない構 造である。 そこで、 図 5のステップ 2 1 :!〜 2 1 4のように減圧過程を 経てガス置換を行う動作を実行する塲合で、 かつ減圧に耐えられないセ ンサ部を備えている場合には、 ガス流路の主幹流路からバルブ等で分離 可能な位置に濃度計 1 1 Aのセンサ部を設置する必要がある。
図 3はこのような設置方法を示す図であり、 この図 3の残留ガス用の 濃度計 1 1 Aにおいて、 そこに流入する気体用の配管 1 1 3と流出する 気体用の配管 1 1 6との間に、 制御装置 1 7の制御のもとで動作する 2 個の切り替え式バルブ V 1 3 , V I 4が設けられ、 両バルブ V I 3 , V 1 4の間の一方の配管を、 主幹流路 1 1 4として、 他方の配管を副流路 1 1 5とするものである。 そして、 酸素濃度計、 水蒸気濃度計、 及び窒 素濃度計を含む残留ガスのセンサ部 1 1 2は、 この副流路 1 1 5上に設 置する。
この構成において、 ガス置換に際して減圧を行う場合には、 切り替え 式バルブ V 1 3, V 1 4により、 主幹流路 1 1 4を流入用の配管 1 1 3 と流出用の配管 1 1 6とに連通させて、 副流路 1 1 5と流入用の配管 1 1 3及び流出用の配管 1 1 6との間を遮断する。 即ち、 副流路 1 1 5を 主幹流路 1 1 4から分離して、 残留ガスのセンサ部 1 1 2が減圧される ことを避ける。 そして、 ガス置換終了後には、 切り替え式バルブ V I 3, V 1 4により、 副流路 1 1 5を流入用の配管 1 1 3と流出用の配管 1 1 6とに連通させて、 図 2の気密ユニット 8から流れ込む気体中の残留ガ ス (吸収性ガス) の濃度を計測する。 なお、 残留ガスのセンサ部 1 1 2の種類によっては、 高濃度の残留ガ スにさらした場合、 破損 (例えば黄リン発光式の酸素センサ) 又は感度 劣化 (例えばポーラログラフ式酸素濃度計、 ジルコニァ式酸素濃度計) が生じる物もある。 そこで、 減圧過程を経ない方式、 即ちガス供給のみ によるガス置換を行う装置でも、 残留ガスの濃度計 1 1 Aの構成は、 図 3に示す例のように、 残留ガスのセンサ部 1 1 2を主幹流路 1 1 4から 分離可能としておくことが望ましい。 これにより、 ガス置換の初期に高 濃度の残留ガスが残留ガスのセンサ部 1 1 2に流れ込むことによる、 破 損又は感度劣化を防止できる。 また、 残留ガスのセンサ部 1 1 2の副流 路 1 1 5のみを別途ガス供給により置換できる構造としておくとなお良 い。
なお、 前述のように、 露光光の光路内に充填する気体としては、 ヘリ ゥムを始めとする希ガスが最適ではあるが、 高価であることから、 ガス 置換が必要な露光装置内の各気密ユニッ ト (気密ユニット 2, 3、 レチ クルステージ室 4、 投影光学系 P Lの鏡筒 5、 ウェハステージ室 6 ) の うち、 性能に特に影響を与える気密ュニッ 卜についてのみヘリゥム等の 希ガスでの置換を行い、 あまり影響を与えないュニッ 卜については安価 な窒素での置換を行うこともできる。 例えば、 投影光学系 P Lの鏡筒 5 内は、 圧力の揺らぎや温度変動に伴うガスの屈折率変化、 露光光の吸収 に伴うレンズ部材の温度上昇が結像性能に与える影響が大きいので、 へ リゥムでの置換を行うが、 ビームマッチングュニッ ト B M Uを囲む気密 ュニッ ト 2、 及び照明光学系 I L Uを囲む気密ュニッ卜 3についてはこ れらの影響に鈍感であるので、 窒素で置換をするとしても良い。
また、 レチクルステージ室 4、 ウェハステージ室 6に関しては、 結像 光路の光路長が短く揺らぎの影響を受けにくいので、 置換ガスを窒素と しても良い。 ただし、 不図示の位置計測用の干渉計の計測結果への圧力 の揺らぎ、 及び温度の揺らぎの悪影響を避けるためにヘリウム等の希ガ スで置換をする方が好ましい。
また、 上記の実施の形態では、 第 1の低吸収性ガス G Aとして窒素を 使用し、 第 2の低吸収性ガス G Bとして希ガスを使用しているが、 第 1 の低吸収性ガス G Aとして希ガス中でも比較的屈折率が大きく熱伝導率 の低い気体であるアルゴン等を使用して、 第 2の低吸収性ガス G Bとし てそれ以外の希ガス (ヘリウムやネオン等) を使用するようにしてもよ い。
以上の過程により、 気密ユニッ ト 8内の吸収性ガスの濃度が、 所定値 以下に到達すると、 露光光の透過率が向上且つ安定し、 露光装置は露光 動作に入ることができる。
ただし、 気密ユニッ ト 8内の構造物表面 (金属表面やレンズ、 ミラー の表面、 電気部品用基板等) からは、 真空引きを行う際に比べれば極く 僅かではあるが、 継続的に不純物ガスが発生 (脱離) し、 気密ユニッ ト 8内の光路上の気体を汚染し、 露光光の透過率を低下させていく。
そこで、 これらの不純物を継続的に除去するために、 光路上の気体の 不純物を除去しつつ循環させる必要がある。 このガス純度維持のための ガス供給にも、 上記のように配管 9 A , 9 Bから供給されるガスを使用 し続けても良いが、 それでは大量のガスを消費するために、 運転コスト が上昇する。 そこで、 以下の実施の形態では、 気密ユニット 8内の気体 をガス純度を維持しながら循環させる機構につき説明する。
図 2中のガス流路のうち、 バルブ V 2から送風ポンプ 1 2を経てバル ブ V 7までの機構は、 このガス循環に使用する機構であり、 以下詳細に 説明する。
気密ユニッ ト 8内の吸収性ガスの濃度が、 所定値以下に到達した後は、 バルブ V 9, V 1 0 , V I I , V 3 , V 4 , V 5 , V 6 , V 8を閉じ、 バルブ V2, V 7を開けて、 気密ユニッ ト 8の内部の気体の循環を開始 する。 気密ユニット 8から排気された気体は、 残留ガスの濃度計 1 1 A、 バルブ V 2を経て送風ポンプ 1 2により加圧され、 HE PAフィル夕 (high efficiency particulate air-filter) 、 又は ULPAフィルタ (ultra low penetration air-filter) 等の防塵フィル夕 1 3で塵等が 除去された後、 セラミックスや酸化金属粉末等からなる有機物除去フィ ル夕、 及びアンモニア除去フィル夕等の化学物質除去用のケミカルフィ ル夕 14により純化される。 そして、 ケミカルフィル夕 14を通過した 気体は、 金属粉末等からなる酸素除去フィルタ及び水蒸気除去フィルタ を含む吸収性ガス除去フィル夕 1 5にて酸素、 及び水蒸気がそれぞれ p pmオーダ一まで除去された後、 残留ガスの濃度計 1 1 Bで残留ガスの 濃度がチェックされる。 濃度計 1 1 Bを通過した気体は、 バルブ V 7を 経て、 温度コントローラ 1 6で温度制御された後、 バルブ V I 2を経て 気密ュニッ ト 8に給気される。 防塵フィルタ 1 3から吸収性ガス除去フ ィル夕 1 5までが本発明の不純物除去フィル夕に対応する。
本例では、 気体を加圧する送風ポンプ 1 2からは発油の恐れが有るの で、 その配置は有機物除去フィル夕を含むケミカルフィル夕 14よりも 上流に配置している。 また、 ケミカルフィル夕 14 (有機物除去フィル 夕) は酸素等を発生する恐れが有るので、 吸収性ガス除去フィル夕 1 5 よりも上流に設置している。
以上のガス循環は、 必ずしもすべての気体を 100 %循環させる必要 がある訳ではなく、 循環する気体から或る程度の量の気体を排気管 9 C に排気し、 その分のガスを配管 9 A, 9 Bより補給することもできる。 なお、 このようなガス循環機構 ひ レブ V2から送風ポンプ 1 2を経 てバルブ V 7までの機構) も内部に多量の気体を有し、 また、 各種フィ ル夕内にも、 多量の気体が残存するので、 気密ユニッ ト 8のガス置換の 完了と同時に、 気密ユニッ ト 8にガス循環機構を接続し、 内気循環を行 うためには、 予め、 ガス循環機構内の気体についても低吸収性ガスで置 換を行っておく必要がある。
バルブ V 5, V 6につながる配管 9 A, 9 B、 及びバルブ V 8が装着 された排気用の配管 (配管 9 Cに接続されている) はそのガス循環機構 内の気体を置換するための設備である。 ただし、 ガス循環機構内のガス 置換の方法に関しては、 バルブ V 5 , V 6 , V 4 , V 8 , V 7を、 それ ぞれバルブ V 9 , V I 0, V I I, V 3 , V 2に対応させて見ると、 上 記で説明した気密ュニッ ト 8内のガス置換と同様の各種の方法で行うこ とができるので、 詳細な説明は省略する。
また、 残留ガスの濃度計 1 1 Bの構造も図 3に示した残留ガスの濃度 計 1 1 Aと同様にすることが望ましい。
以上のガス置換は、 投影露光装置の半導体製造工場等での組立調整完 了時のみに必要なだけではなく、 例えば稼働中の投影露光装置のメンテ ナンス後の復旧に際しても必要である。 特にウェハステージ室 6内や、 レチクルステージ室 4内は、 メンテナンスの必要頻度が高く、 メンテナ ンス後の早期復旧は、 装置の稼働率を高めるために極めて重要である。 そこで、 本例では、 メンテナンスのために各気密ユニッ ト (気密ュニ ッ ト 2 , 3〜ウェハステージ室 6 ) のガス置換を中断する際に、 外気 (空気) が侵入する空間を可能な限り限定し、 メンテナンス後の復帰 (再ガス置換) が短時間で済む構成とした。
即ち、 図 2において、 気密ユニッ ト 8の内部の装置 (図 1のビームマ ツチングュニッ ト B M U〜ウェハステージ系 W S T ) のメンテナンスを 行う際には、 ガス置換ュニット Sと気密ュニット 8とを接続する給気管 S i及び排気管 S e中のバルブ V 1 2, V Iを閉じ、 メンテナンス時に 気密ュニット 8内に流れ込む空気が、 ガス置換ュニッ ト S内には流入し ないようにする。 そしてメンテナンス終了時には、 前述のガス置換と同 様の方法で、 気密ユニッ ト 8をガス置換する。 これにより、 空気がガス 置換ユニッ ト S (ガス循環機構) 内まで流入することを防止できるので、 復帰に要する時間が短縮される。
また、 ガス循環機構側をメンテナンスする必要も生じるが、 この場合 にも、 メンテナンス時にバルブ V 2, V 7等を閉じて、 混入する空気の 気密ュニッ 卜 8中への侵入を防ぐことで、 復帰に要する時間の短縮が図 れる。
また、 ガス循環機構内の送風ポンプ 1 2や各種フィル夕 1 3, 1 4 , 1 5間にバルブや低吸収性ガスの給気及び排気用の配管を設置し、 それ ぞれの部分を独立してガス置換可能な構成としておいてもよい。 これに よって、 メンテナンス、 又は部品交換時の復帰時間を一層短縮すること も可能である。
次に、 投影露光装置が設置される工場内で、 電源供給が遮断された場 合、 低吸収性ガスの供給が停止された場合、 低吸収性ガスの純度が低下 した場合、 又は地震等の災害が発生した場合には、 上記ガスの循環を継 続することにより、 かえって装置内の低吸収性ガスの純度を低下させて しまう恐れがある。
そこで、 これらの緊急事態の発生に同期して、 バルブ V I 2, V I, V 2 , V 4, V 7 , V 8等を閉め、 内部の気体を各部内に封止すること が望ましい。 具体的には、 不図示の電源モニタ、 配管 9 A, 9 Bに設置 した圧力計、 流量計及び不純物濃度計、 工場内の火災警報機、 地震計等 に連動して、 各バルブを閉じる機構を設けるとよい。
なお、 以上の実施の形態で述べたバルブの開閉は、 全て露光装置の制 御装置 1 7からの指令に基づいて、 自動で行われるものであり、 各バル ブの動作シーケンスも主制御系 1 8のプログラムによるものであること は言うまでもない。
また、 上記の実施の形態の露光装置では、 光路を含む空間内の気体を. 酸素等の吸収性ガスの残留濃度が数 p p m以下程度となるように、 低吸 収性ガスで置換する必要があるため、 使用する低吸収性ガスに含まれる 酸素等の吸収性ガスの濃度は、 1 p p m以下程度には収められている必 要がある。 したがって、 露光装置が設置される工場で、 工場配管により 供給される低吸収性ガスがこの条件を満たしていない場合には、 工場配 管と供給用の配管 9 A , 9 Bとの間に酸素除去フィルタ、 水蒸気除去フ ィル夕等のガス純化器を設置する必要がある。
また、 上記の実施の形態において、 例えば気密ユニット 8の内部の構 造材料の表面積が大きいほど、 付着している吸光物質の分子数は多くな るので、 その表面積が小さくなるように光路空間は微細な構造を持たな いように設計するのがよい。 また、 同様の理由から、 機械研磨、 電解研 磨、 バフ研磨、 化学研磨、 又は G B B (Gl as s Bead B l as t ing ) といつ た方法によって研磨し、 構造材料の表面粗さを低減しておくのが好まし レ^ これらの処理を施した上で、 超音波洗浄、 クリーンドライエア等の 流体の吹き付け、 真空加熱脱ガス (ベ一キング) などの手法によって、 回路パターンの露光前に構造材料表面を洗浄にしておき、 構造材料表面 からの脱ガス量を低減しておくような工夫をしておくとよい。
また、 光路空間中に存在する電線被覆物質やシール材 (〇リング等) 、 接着剤等から、 炭化水素、 ハロゲン化物等の吸光物質が放出されること も知られている。 上記の実施の形態においては、 炭化水素やハロゲン化 物を含む電線被覆物質やシール材 (〇リング等) 、 接着剤等を光路空間 中に可能な限り設置しない、 又は放出ガスの少ない素材を利用する、 な どの対処を行い、 根本的に吸光物質の発生量を抑制しておけば、 その水 分子に対する処理と同様に、 本発明の効果がより一層得られることにな る。
また、 図 1において気密ュニッ ト 2からウェハステージ室 6を構成す る筐体 (筒状体等も可) や、 ヘリウムガス等を供給する配管は、 不純物 ガス (脱ガス) の少ない材料、 例えばステンレス鋼 (更にこの内部を酸 化して酸化クロム等を形成しておいてもよい) 、 四フッ化工チレン、 テ トラフルォロエチレン一テルフルォロ (アルキルビニルエーテル) 、 又 はテトラフルォロエチレン—へキサフルォロプロペン共重合体等の各種 ポリマーで形成することが望ましい。
更に、 各筐体内の駆動機構 (レチクルブラインドやステージ等) など に電力を供給するケーブルなども、 同様に上述した不純物ガス (脱ガス) の少ない材料で被覆することが望ましい。
また、 上記の実施の形態において、 図 1の照明光学系 I L Uを構成す る複数の光学素子、 又は投影光学系 P Lを構成する複数の光学素子の間 の空間をそれぞれ密封されたレンズ室 (気密室に対応する) として、 こ れらのレンズ室毎にガス置換ュニッ トからの給気管 S i及び排気管 S e を設けて、 レンズ室毎に独立に低吸収性ガスによる置換を行うようにし てもよい。
更に、 図 1の照明光学系 I L Uを囲む気密ユニッ ト 3、 レチクルステ —ジ室 4、 投影光学系 P Lの鏡筒 5の内部の空間、 及び投影光学系 P L とウェハ 6 1 との間の空間 (ウェハステージ室 6 ) においては、 吸光物 質の濃度管理を互いに異なる許容濃度で行ってもよい。 その際、 レチク ルステージ室 4やウェハステージ室 6ではステージ等の可動機構を備え ているため、 レチクルステージ室 4やウェハステージ室 6では、 気密ュ ニッ ト 3及び投影光学系 P Lの内部における許容濃度よりも高い許容濃 度で吸光物質の管理を行うようにしてもよい。
また、 レチクルステージ室 4やウェハステージ室 6にはステージの位 置計測を行うためのレーザ干渉計が設けられている。 この場合、 そのレ —ザ干渉計の計測用の光ビームの光路中で、 低吸収性ガスの濃度が変化 すると、 光路の揺らぎの要因となる可能性がある。 そこで、 その光路中 に低吸収性ガスの濃度センサを配置し、 この計測値に基づいてその光路 近傍の低吸収性ガスの濃度管理を行うことが望ましい。
なお、 本発明は投影露光装置のみならず、 プロキシミティ方式の露光 装置ゃコンタク ト方式の露光装置等にも適用できることは明らかである また、 上記の実施の形態では、 投影光学系 P Lとして屈折系が使用さ れているが、 投影光学系 P Lとしては、 反射系又は反射屈折系を使用し てもよい。 特に、 投影光学系 P Lとして、 本件出願人による日本国特願 平 1 0— 3 7 0 1 4 3号に開示されているように、 屈折系とそれぞれ光 軸近傍に開口を有する 2枚の反射鏡とを含む反射屈折系を使用した場合 には、 屈折系と同様に直筒型に構成できるため、 その内部の低吸収性ガ スによる置換を効率的に行うことができる。 また、 投影光学系の倍率は 縮小系のみならず等倍及び拡大系のいずれでもよい。
また、 上記の実施の形態の投影露光装置は、 照明光学系や投影光学系 の調整を行うと共に、 各構成要素を、 電気的、 機械的又は光学的に連結 して組み上げられる。 更に、 図 1において、 ビームマッチングユニット B M U、 照明光学系 I L U、 レチクルステージ系 R S T、 及びウェハス テ一ジ系 W S Τをそれぞれ囲むように気密ユニッ ト 2、 気密ユニッ ト 3、 レチクルステージ室 4、 及びウェハステージ室 6を組み立て、 投影光学 系 P Lの鏡筒 5の内部を気密化する。 これと並行して、 ガス置換ュニッ ト S 2〜S 6等を組み立てた後、 ガス置換ュニッ ト S 2〜S 6と対応す る気密ュニッ 卜との間に給気管 S i n ( n = 2〜6 ) 及び排気管 S e n を接続し、 ガス置換ユニット S 2〜S 6に配管 9 A, 9 B, 9 C 1 , 9 C 2を接続することによって、 吸光物質を含む気体を低吸収性ガスで置 換するためのシステムが組み上げられる。 この場合の作業は温度管理が 行われたクリーンルーム内で行うことが望ましい。
なお、 本発明における密封された空間とは、 内部空間と外部空間との 間で気体の流通が無い状態、 又は内部空間と外部空間との間で気体の流 通があるが、 外部空間から内部空間への気体の流入が抑制され、 外部空 間に内部空間から気体が流出するように、 内部空間の圧力が外部空間の 圧力より高く設定されている状態を示す。
そして、 上記のように露光が行われたウェハが、 現像工程、 パターン 形成工程、 ボンディング工程、 パッケージング工程等を経ることによつ て、 半導体素子等のデバイスが製造される。 更に、 本発明は半導体デバ イスのみならず、 液晶表示素子やプラズマディスプレイ等の表示素子、 更には薄膜磁気へッ ド等を製造する場合にも適用することができる。
また、 半導体素子等を製造するデバイス製造用の露光装置で使用する レチクル又はマスクを、 例えば遠紫外光 (D U V光) 若しくは真空紫外 光 (V U V光) を用いる露光装置で製造する場合にも、 上記の実施の形 態の投影露光装置を好適に使用することができる。
また、 本発明は、 例えば遠紫外光又は真空紫外光を露光用照明光とし て使用するステップ ' アンド ' スティツチ方式の縮小投影露光装置にも 適用することができる。
また、 露光用の照明光としての D F B半導体レーザ又はファイバレー ザから発振される赤外域又は可視域の単一波長レーザを、 例えばェルビ ゥム (E r ) (又はエルビウムとイッテルビウム (Y b ) の両方) がド ープされたファイバーアンプで増幅し、 かつ非線形光学結晶を用いて紫 外光に波長変換した高調波を用いてもよい。 例えば、 単一波長レーザの 発振波長を 1 . 5 4 4〜 1 . 5 5 3 ^ mの範囲内とすると、 1 9 3〜 1 9 4 n mの範囲内の 8倍高調波、 即ち A r Fエキシマレーザとほぼ同一 波長となる紫外光が得られ、 発振波長を 1 . 5 7〜 1 . 5 8 ^i mの範囲 内とすると、 1 5 7〜 1 5 8 n mの範囲内の 1 0倍高調波、 即ち F 2 レ —ザとほぼ同一波長となる紫外光が得られる。
なお、 本発明は上述の実施の形態に限定されず、 本発明の要旨を逸脱 しない範囲で種々の構成を取り得る。 更に、 明細書、 特許請求の範囲、 図面、 及び要約を含む、 1 9 9 9年 7月 2 3日付提出の日本国特許出願 第 1 1 _ 2 0 9 8 7 0号の全ての開示内容は、 そっく りそのまま引用し てここに組み込まれている。 産業上の利用の可能性
本発明の第 1の露光方法によれば、 露光ビームの少なくとも一部の光 路を含む空間内の気体をその露光ビームが透過する気体で置換する場合 に、 その空間の周囲から発生する脱ガス等を少なくできるため、 その置 換を安定に行うことができる。 従って、 特に真空紫外域の波長の光を使 用する露光装置において、 その光路を含む空間を効率的に低吸収性ガス で置換することが可能になり、 露光ビームに対する吸収を抑制すること が可能となり、 十分な露光光パワーが得られる。
また、 本発明の第 2の露光方法によれば、 露光ビームの光路を含む空 間内の気体を予め第 1の気体で置換した後に、 第 2の気体で置換するこ とによって、 例えば高性能な第 2の気体の消費量を減らすことができる。 従って、 ガス置換に要する運転コストを低減することが可能となる。
また、 本発明の露光装置によれば、 上記の本発明の露光方法を容易、 又は効率的に実施できるようになる。
そして、 本発明のデバイス製造方法によれば、 極めて短波長の露光ビ —ムの使用によって極めて微細な回路パターンを備えたデバイスを製造 できると共に、 露光ビームの強度を高く維持できるために、 スループッ 卜が向上する

Claims

請 求 の 範 囲
1. 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過した 露光ビームで第 2物体を露光する露光方法において、
前記露光ビームの光路の少なくとも一部を含む空間を密封し、 . 該密封された空間内に前記露光ビームが透過する所定の気体を第 1の 気圧の近傍まで充填するに際して、
前記密封された空間内の気体を前記第 1の気圧よりも低い第 2の気圧 の近傍まで減圧する減圧工程と、
前記密封された空間内に前記所定の気体を前記第 1の気圧と前記第 2 の気圧との間の気圧まで供給する充填工程と
を交互に複数回繰り返すことを特徴とする露光方法。
2. 前記露光ビームは、 波長が 200 nm〜 1 00 nmの光であり、 前 記所定の気体は、 窒素ガス又は希ガスであると共に、
前記第 1の気圧は 900 hP a〜 1 1 00 hP aの範囲内であり、 前 記第 2の気圧は 50 P a〜l O k P aの範囲内であることを特徴とする 請求の範囲 1記載の露光方法。
3. 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過した 露光ビームで第 2物体を露光する露光方法において、
前記露光ビームの光路の少なくとも一部を含む空間を密封し、 該密封された空間を前記露光ビームが透過する第 1の気体で置換する 第 1の工程と、
これに続いて前記密封された空間を前記第 1の気体と異なる前記露光 ビームが透過する第 2の気体で置換する第 2の工程と
を含むことを特徴とする露光方法。
4. 前記露光ビームは、 波長が 200 nm〜 1 00 nmの光であり、 前記第 2の気体は前記第 1の気体よりも前記露光ビームに対する透過 率が良好であることを特徴とする請求の範囲 3記載の露光方法。
5 . 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過した 露光ビームで第 2物体を露光する露光装置において、
前記露光ビームの光路の少なくとも一部を含む空間を密封する気密室 と、
該気密室内に前記露光ビームが透過する所定の気体を供給する気体供 給装置とを備え、
前記気体供給装置は、 前記所定の気体に含まれる酸素又は水蒸気の少 なくとも一方を除去する吸光気体除去フィル夕を含む不純物除去フィル 夕を有することを特徴とする露光装置。
6 . 前記不純物除去フィル夕は、 前記所定の気体に含まれる塵を除去す る集塵フィル夕と、 前記所定の気体に含まれる有機物を除去する有機物 除去フィル夕とを更に有し、
前記所定の気体が流れる方向に沿って、 前記集塵フィル夕、 前記有機 物除去フィル夕、 及び前記吸光気体除去フィル夕の順に配置されること を特徴とする請求の範囲 5記載の露光装置。
7 . 前記気体供給装置は、 前記所定の気体を前記気密室内に送る送風装 置、 前記所定の気体の温度を制御する温度調整機構を有し、
前記所定の気体が流れる方向に沿って、 前記送風装置、 前記不純物除 去フィルタ、 及び前記温度調整機構の順に配置されることを特徴とする 請求の範囲 6記載の露光装置。
8 . 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過した 露光ビームで第 2物体を露光する露光装置において、
前記露光ビームの光路の少なくとも一部を含む空間を密封する気密室 と、 該気密室内に前記露光ビームが透過する所定の気体を供給する気体供 給装置と、
前記気密室内の空間に残留する所定の残留気体の濃度を計測する気体 濃度計測装置と、
前記気密室内の空間と前記気体濃度計測装置との間の気体の通路を開 閉する開閉機構と
を有することを特徴とする露光装置。
9 . 前記気体濃度計測装置は、 酸素又は水蒸気の少なくとも一方の濃度 を計測することを特徴とする請求の範囲 8記載の露光装置。
1 0 . 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過し た露光ビームで第 2物体を露光する露光装置において、
前記露光ビームの光路の少なくとも一部を含む空間を密封する気密室 と、
該気密室内に前記露光ビームが透過する所定の気体を供給する気体供 給装置と、
該気体供給装置による前記所定の気体の供給路中に設けられた開閉自 在の遮断弁と、
前記露光装置のメンテナンス時及び緊急時に前記遮断弁を閉じて前記 気密室への前記所定の気体の供給を停止させる制御装置と
を有することを特徴とする露光装置。
1 1 . 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過し た露光ビームで第 2物体を露光する露光装置において、
前記露光ビームの光路の少なくとも一部を含む空間を密封する気密室 と、
該気密室内に前記露光ビームが透過する所定の気体を第 1の気圧の近 傍まで供給する気体供給装置とを備え、 該気体供給装置は、 前記気密室内の気体を前記第 1の気圧よりも低い 第 2の気圧まで減圧する減圧機構と、 前記気密室内に前記所定の気体を 前記第 1の気圧と前記第 2の気圧との間の気圧まで充填する充填機構と、 前記減圧と前記充填とを複数回繰り返すように前記減圧機構と前記充填 機構とを制御する制御装置とを有することを特徴とする露光装置。
1 2 . 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過し た露光ビームで第 2物体を露光する露光装置において、
前記露光ビームの光路の少なくとも一部を含む空間を密封する気密室 と、
前記露光ビームが透過する第 1の気体を前記気密室内に供給する第 1 の気体供給装置と、
前記第 1の気体とは種類が異なると共に前記露光ビームが透過する第 2の気体を前記気密室内に供給する第 2の気体供給装置と、
前記第 1及び第 2の気体供給装置による気体の供給量を調整する調整 装置と
を備えたことを特徴とする露光装置。
1 3 . 前記調整装置は、 前記第 1の気体供給装置を駆動して前記気密室 内に前記第 1の気体を供給した後、 前記第 2の気体供給装置を駆動して 前記気密室内に前記第 2の気体を供給することを特徴とする請求の範囲 1 2記載の露光装置。
1 4 . 請求の範囲 1〜4の何れか一項記載の露光方法を用いてデバイス パターンをワークピース上に転写する工程を含むデバイス製造方法。
1 5 . 請求の範囲 5〜 1 3の何れか一項記載の露光装置を用いてデバイ スパターンをワークピース上に転写する工程を含むデバイス製造方法。
1 6 . 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過し た露光ビームで第 2物体を露光する露光装置の製造方法において、 前記露光ビームの光路の少なくとも一部を含む空間を密封する気密室 と、
該気密室内に前記露光ビームが透過する所定の気体を供給し、 該所定 の気体に含まれる酸素又は水蒸気の少なくとも一方を除去する吸光気体 除去フィル夕を含む不純物除去フィル夕を有する気体供給装置と を所定の位置関係で組み上げることを特徴とする露光装置の製造方法。
1 7 . 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過し た露光ビームで第 2物体を露光する露光装置の製造方法において、 前記露光ビームの光路の少なくとも一部を含む空間を密封する気密室 と、
該気密室内に前記露光ビームが透過する所定の気体を供給する気体供 給装置と、
前記気密室内の空間に残留する所定の残留気体の濃度を計測する気体 濃度計測装置と、
前記気密室内の空間と前記気体濃度計測装置との間の気体の通路を開 閉する開閉機構と
を所定の位置関係で組み上げることを特徴とする露光装置の製造方法。
1 8 . 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過し た露光ビームで第 2物体を露光する露光装置の製造方法において、 前記露光ビームの光路の少なくとも一部を含む空間を密封する気密室 と、
該気密室内に前記露光ビームが透過する所定の気体を供給する気体供 給装置と、
該気体供給装置による前記所定の気体の供給路中に設けられた開閉自 在の遮断弁と、
前記露光装置のメンテナンス時及び緊急時に前記遮断弁を閉じて前記 気密室への前記所定の気体の供給を停止させる制御装置と を所定の位置関係で組み上げることを特徴とする露光装置の製造方法。
1 9 . 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過し た露光ビームで第 2物体を露光する露光装置の製造方法において、 前記露光ビームの光路の少なくとも一部を含む空間を密封する気密室 と、
該気密室内に前記露光ビームが透過する所定の気体を第 1の気圧の近 傍まで供給し、 前記気密室内の気体を前記第 1の気圧よりも低い第 2の 気圧まで減圧する減圧機構と、 前記気密室内に前記所定の気体を前記第
1の気圧と前記第 2の気圧との間の気圧まで充填する充填機構と、 前記 減圧と前記充填とを複数回繰り返すように前記減圧機構と前記充填機構 とを制御する制御装置とを有する気体供給装置と
を所定の位置関係で組み上げることを特徴とする露光装置の製造方法。
2 0 . 露光ビームで第 1物体を照明し、 該第 1物体のパターンを通過し た露光ビームで第 2物体を露光する露光装置の製造方法において、 前記露光ビームの光路の少なくとも一部を含む空間を密封する気密室 と、
前記露光ビームが透過する第 1の気体を前記気密室内に供給する第 1 の気体供給装置と、
前記第 1の気体とは種類が異なると共に前記露光ビームが透過する第 2の気体を前記気密室内に供給する第 2の気体供給装置と、
前記第 1及び第 2の気体供給装置による気体の供給量を調整する調整 を所定の位置関係で組み上げることを特徴とする露光装置の製造方法。
PCT/JP2000/004871 1999-07-23 2000-07-21 Procede et appareil d'exposition WO2001008204A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
AU60218/00A AU6021800A (en) 1999-07-23 2000-07-21 Exposing method and apparatus
KR1020027000268A KR20020019121A (ko) 1999-07-23 2000-07-21 노광 방법 및 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP11/209870 1999-07-23
JP20987099 1999-07-23

Publications (1)

Publication Number Publication Date
WO2001008204A1 true WO2001008204A1 (fr) 2001-02-01

Family

ID=16580012

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2000/004871 WO2001008204A1 (fr) 1999-07-23 2000-07-21 Procede et appareil d'exposition

Country Status (3)

Country Link
KR (1) KR20020019121A (ja)
AU (1) AU6021800A (ja)
WO (1) WO2001008204A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002260980A (ja) * 2001-02-28 2002-09-13 Canon Inc 露光装置、露光方法およびデバイス製造方法
JP2003068630A (ja) * 2001-08-29 2003-03-07 Kyocera Corp 露光装置
JP2008505474A (ja) * 2004-06-24 2008-02-21 プラクスエア・テクノロジー・インコーポレイテッド ポリマー材料を前処理するための方法及び装置
US9097992B2 (en) 2004-08-19 2015-08-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN107649781A (zh) * 2016-07-26 2018-02-02 Ap系统股份有限公司 激光设备、激光处理装备以及激光设备的污染防止方法
EP3352014A1 (de) * 2016-09-15 2018-07-25 Carl Zeiss SMT GmbH Optische anordnung, insbesondere in einer projektionsbelichtungsanlage für die euv-lithographie

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04188100A (ja) * 1990-11-22 1992-07-06 Matsushita Electric Ind Co Ltd X線露光装置の気体置換方法
JPH10242029A (ja) * 1997-02-27 1998-09-11 Canon Inc 露光装置
JPH11195585A (ja) * 1997-12-26 1999-07-21 Nikon Corp 露光装置および露光方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04188100A (ja) * 1990-11-22 1992-07-06 Matsushita Electric Ind Co Ltd X線露光装置の気体置換方法
JPH10242029A (ja) * 1997-02-27 1998-09-11 Canon Inc 露光装置
JPH11195585A (ja) * 1997-12-26 1999-07-21 Nikon Corp 露光装置および露光方法

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002260980A (ja) * 2001-02-28 2002-09-13 Canon Inc 露光装置、露光方法およびデバイス製造方法
JP2003068630A (ja) * 2001-08-29 2003-03-07 Kyocera Corp 露光装置
JP2008505474A (ja) * 2004-06-24 2008-02-21 プラクスエア・テクノロジー・インコーポレイテッド ポリマー材料を前処理するための方法及び装置
US10331047B2 (en) 2004-08-19 2019-06-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9507278B2 (en) 2004-08-19 2016-11-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9746788B2 (en) 2004-08-19 2017-08-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9904185B2 (en) 2004-08-19 2018-02-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9097992B2 (en) 2004-08-19 2015-08-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10599054B2 (en) 2004-08-19 2020-03-24 Asml Holding N.V. Lithographic apparatus and device manufacturing method
US10705439B2 (en) 2004-08-19 2020-07-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN107649781A (zh) * 2016-07-26 2018-02-02 Ap系统股份有限公司 激光设备、激光处理装备以及激光设备的污染防止方法
TWI736649B (zh) 2016-07-26 2021-08-21 南韓商Ap系統股份有限公司 雷射裝置、具上述裝置的雷射處理設備以及雷射裝置的預防汙染方法
EP3352014A1 (de) * 2016-09-15 2018-07-25 Carl Zeiss SMT GmbH Optische anordnung, insbesondere in einer projektionsbelichtungsanlage für die euv-lithographie

Also Published As

Publication number Publication date
KR20020019121A (ko) 2002-03-09
AU6021800A (en) 2001-02-13

Similar Documents

Publication Publication Date Title
US6791766B2 (en) Method and device for holding optical member, optical device, exposure apparatus, and device manufacturing method
KR101013347B1 (ko) 노광방법, 노광장치, 및 디바이스 제조방법
US20020145711A1 (en) Exposure apparatus, apparatus for manufacturing devices, and method of manufacturing exposure apparatuses
WO2001006548A1 (fr) Procede et systeme d'exposition
JP2001345263A (ja) 露光装置及び露光方法、並びにデバイス製造方法
KR20010089431A (ko) 광학장치와 노광장치 및 레이저광원, 가스 공급방법,노광방법, 디바이스의 제조방법
US6961113B1 (en) Exposure method and apparatus
US6707529B1 (en) Exposure method and apparatus
JP4265257B2 (ja) 露光装置及び露光方法、フィルム構造体
WO2001008204A1 (fr) Procede et appareil d'exposition
JPH11219902A (ja) 露光装置及びデバイス製造装置
JP2001284224A (ja) 露光装置及び露光方法
WO2005024921A1 (ja) 露光装置及びデバイス製造方法
JP2003257826A (ja) 光学装置及び露光装置
JP2003257821A (ja) 光学装置及び露光装置
JP2003257822A (ja) 光学装置及び露光装置
WO2003090265A1 (fr) Appareil de support, appareil optique, appareil d'exposition a la lumiere et procede de production d'un dispositif
JP2005136263A (ja) 露光装置とそのガス供給方法
JP2002033258A (ja) 露光装置、マスク装置及びパターン保護装置、並びにデバイス製造方法
JP2001102290A (ja) 露光方法及び装置
JP2003163159A (ja) パージガスの供給方法及び露光装置並びにデバイスの製造方法
WO2004081999A1 (ja) 光学装置、露光装置、並びにデバイス製造方法
JP2003257820A (ja) ガス供給システム、露光装置、並びにフィルタ
JPWO2001093319A1 (ja) ガス供給システム、露光装置及びデバイスの製造方法
WO2003036696A1 (fr) Procede et instrument de mesure d'une concentration, procede et unite d'exposition a la lumiere, et procede de fabrication d'un dispositif

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 512624

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020027000268

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 10031440

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 1020027000268

Country of ref document: KR

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
WWW Wipo information: withdrawn in national office

Ref document number: 1020027000268

Country of ref document: KR