WO1999039386A1 - Portillon de chargement et son chariot de transfert - Google Patents

Portillon de chargement et son chariot de transfert Download PDF

Info

Publication number
WO1999039386A1
WO1999039386A1 PCT/JP1999/000361 JP9900361W WO9939386A1 WO 1999039386 A1 WO1999039386 A1 WO 1999039386A1 JP 9900361 W JP9900361 W JP 9900361W WO 9939386 A1 WO9939386 A1 WO 9939386A1
Authority
WO
WIPO (PCT)
Prior art keywords
load port
transfer machine
carrier
wafer carrier
wafer
Prior art date
Application number
PCT/JP1999/000361
Other languages
English (en)
Japanese (ja)
Inventor
Akira Yoshikawa
Ken Yoshioka
Motoya Taniguchi
Norihiko Wada
Shigeru Kobayashi
Kazuhiro Shimeno
Original Assignee
Hitachi, Ltd.
Kokusai Electric Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi, Ltd., Kokusai Electric Co., Ltd. filed Critical Hitachi, Ltd.
Publication of WO1999039386A1 publication Critical patent/WO1999039386A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Definitions

  • the present invention relates to a load port and a load port transport trolley in a semiconductor substrate processing apparatus, and more particularly, to a load port and a port port transport trolley suitable for use in a semiconductor substrate processing apparatus that handles a 12-inch (30 cm) semiconductor wafer.
  • Background art
  • a semiconductor substrate processing apparatus includes an etching apparatus, an asshing apparatus, and the like as main components, and further carries a semiconductor wafer (hereinafter, simply referred to as a wafer) to these apparatuses, and removes a processed wafer.
  • the transfer machine has a port port for opening the wafer carrier storing the wafer, transferring the wafer in the wafer carrier, and receiving the processed wafer from the transfer machine into the wafer carrier. .
  • the load port opens the wafer carrier and sequentially transfers the wafer stored therein to a processing apparatus such as an etching apparatus and an ashing apparatus via a transfer machine. Deliver large quantities and receive processed wafers. For this reason, multiple load ports are generally provided for one transfer machine.
  • the load port for the semiconductor substrate processing equipment described above needs to be removed from the transfer machine and moved to a place for maintenance in case of failure, maintenance and inspection, etc. Multiple workers It was generally carried out by carrying. In addition, this movement may be performed by providing wheels below the load port and moving the wheels on the floor, but the semiconductor substrate processing apparatus is installed in a clean room.
  • the floor is provided with a number of air intake holes for air circulation and cleaning, and in addition to rails, etc., on which an automatic cart that transports the wafer carrier storing the wafers is installed. Moving them also required considerable effort.
  • the clean room has an auxiliary floor by arranging plates in a grid or sashimi shape to form intake holes for air purification. Therefore, special consideration is required for moving and removing the load port.
  • clean rooms do not generate new debris to maintain cleanliness, prevent the spread of debris, and avoid lifting materials and equipment to prevent adhesion to other wafers and equipment. There must be.
  • a load port transport trolley for easily moving the load port of the semiconductor substrate processing apparatus described above and a load port having a structure suitable for transport by the trolley.
  • Disclosure of the invention According to the present invention, there is provided a semiconductor substrate processing apparatus, comprising: a wafer carrier capable of accommodating a wafer therein; and a load port for opening and closing a lid of the wafer carrier. This is achieved by providing an engaging means for engaging the arm.
  • the object is to provide a wafer carrier mounting plate on an upper surface of a mounting table on which the wafer carrier is mounted, and an adjustment mechanism for horizontally adjusting an upper surface portion of the plate below the plate. This is achieved by providing a notch as an engagement groove for engaging with the arm of the load port carrier on the side surface between the main body and the main body.
  • the above-mentioned object is achieved by providing a fixture for attaching the load port to the transfer machine so as to be operable from the front side of the load port, and the load port has a coupling plate attached to the transfer machine on the back thereof.
  • a hook provided on an upper portion of the coupling plate and the fixing device, and attached to the transfer machine, and the lower portion of the coupling plate is placed on a base plate protruding below the transfer device.
  • a height-adjustable fixing foot is provided at the bottom on the front side, and the load port bottom is raised from the installation surface of the upper surface of the base plate on which the transfer machine is installed by the fixing foot. This is achieved by providing support to be identical to
  • the object is to provide a load port carrier for transporting a load port for opening and closing a wafer carrier capable of storing a wafer in a semiconductor substrate processing apparatus, wherein a front surface of the carrier for loading the load port is provided.
  • This is achieved by including an open main body, and a pair of arms provided on the upper part of the main body, slidable forward of the main body, and engaging with the engagement grooves of the load port.
  • the object is to enable the pair of arms to move in a vertical direction together with a member for supporting the arm, and A mechanism for manually moving the arm and a mechanism for vertically moving the arm, or a mechanism for vertically moving the arm by electric or air from a transfer machine. Achieved by providing. BRIEF DESCRIPTION OF THE FIGURES
  • FIG. 1 is a diagram showing a configuration of a load port and a transfer machine of a semiconductor substrate processing apparatus to which the present invention is applied.
  • FIG. 2 is a view for explaining how a load port carrier trolley according to one embodiment of the present invention takes out a load port.
  • FIG. 3 is a diagram illustrating a state in which the load port carrier according to one embodiment of the present invention conveys the load port.
  • FIG. 4 is a diagram illustrating the structure of a load port carrier.
  • FIG. 5 is a diagram illustrating the structure of a vertical movement mechanism.
  • FIG. 6 is a diagram illustrating the structure of a load port according to an embodiment of the present invention.
  • FIG. 7 is a diagram for explaining a storage state of internal devices of the load port.
  • FIG. 1 is a diagram showing a configuration of a load port and a transfer machine of a semiconductor substrate processing apparatus to which the present invention is applied.
  • FIG. 2 is a view for explaining how a load port carrier trolley according to one embodiment of
  • FIG. 8 is a diagram for explaining a structure of a connecting portion between a load port and a transfer machine.
  • FIG. 9 is a perspective view of a state in which the load port carrier has lifted up the load port as viewed from the rear side of the load port.
  • FIG. 10 is a diagram for controlling the configuration when power is supplied to the load port carrier.
  • FIG. 11 is a diagram illustrating an electrode structure in a power supply box.
  • FIG. 12 is a view for explaining another embodiment relating to the engagement between the load port and the arm of the load port carrier.
  • FIG. 13 is a view for explaining the structure of a load port according to another embodiment of the present invention. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 1 is a diagram showing a configuration of a load port and a transfer machine of a semiconductor substrate processing apparatus to which the present invention is applied
  • FIG. 2 is a diagram showing an embodiment of the present invention
  • FIG. 3 is a view for explaining a state in which the load port is transported by the load port transport trolley according to the embodiment of the present invention.
  • the semiconductor manufacturing equipment shown in Figs. 1 to 3 has a load port 11, a wafer carrier lid opening / closing plate 12, a wafer carrier 13, a transfer machine 14, a control unit 15, a display 16 and an operation.
  • a semiconductor substrate processing apparatus to which the present invention is applied includes a load port 11, a transfer machine 14, a control unit 15, and an etching apparatus (not shown) for processing a semiconductor substrate. It is composed of a processing device such as a device and an assuring device. A plurality of load ports 11 can be attached to one transfer device 14, and four load ports 11 are attached to the transfer device 14 in the illustrated example.
  • the load port 11 can accommodate a plurality of wafers (usually 13 or 25 wafers) that are transported by a well-known self-propelled carriage traveling on rails provided on the floor.
  • the wafer carrier lid opening / closing plate 12 opens the lid of the wafer carrier 13 to the transfer machine 14 side, and the robot housed inside the transfer machine 14 This enables the removal of the wafer stored in the carrier 13 or the storage of the processed wafer in the wafer carrier 13.
  • an etching device (not shown)
  • the processing device such as the transfer device is provided on the side opposite to the side where the load port 11 of the transfer machine 14 is arranged, and the robot housed inside the transfer machine 14 is a wafer carrier. An operation of taking out the wafer in 13, carrying it into a processing device such as a wafer etching device or an assing device, and storing it in the processed wafer wafer carrier 13 is performed.
  • the transfer device 14 is provided with a monitoring window 19 so that an operator can monitor the internal operation status.
  • the control unit 15 arranged side by side with the load port 11 is provided with a display 16 and a console 1 ⁇ , and is used for monitoring and controlling the operation of the illustrated transfer machine 14. It is used for monitoring and controlling the semiconductor substrate processing apparatus provided corresponding to the machine 14.
  • a plurality of monitoring lamps 18 are provided on the entire upper surface of the transfer machine 14 for each of the plurality of load ports 11.
  • the monitoring lamp 18 indicates the status of the load ports 11 provided in the transfer machine 14. That is, when the load port 11 is operating normally, for example, the monitor lamp 18 displays green, yellow, etc., and when any abnormality occurs in the load port 11, the monitor lamp 18 displays red, Or, it is controlled to display red flashing. At this time, an alarm may be issued at the same time to notify the abnormality. Also, a similar monitoring lamp can be provided in the maintenance room or the like.
  • the semiconductor substrate processing apparatus as shown in FIG. 1 has a size of approximately 5 m in length and 4.5 m in width, and a plurality of units are arranged in a longitudinal direction, and a plurality of units are arranged in a line.
  • a large number of semiconductor substrate processing apparatuses are arranged in parallel. Therefore, a relatively narrow passage is formed on the front side of the load port 11 of the semiconductor substrate processing apparatus shown in FIG.
  • a large number of air intake holes are provided on the floor of this passage for air circulation cleaning, and a wafer key for storing wafers is provided.
  • a rail is provided for running a self-propelled carriage for transporting carriers 13. Note that the semiconductor substrate processing equipment, transfer equipment, etc.
  • the worker or the like transports the load port transport cart 21 according to the present invention to the location of the load port 11 where the failure has occurred, and places the load port 11 on the load port transport cart 21.
  • the transport operation will be described below with reference to Figs. 2 and 3.
  • a rail 22 for running a self-propelled carriage for transporting the wafer carrier 13 containing the wafer is provided on the floor in front of the load port 13.
  • an operator or the like carries the load port carrier 21 described later in detail on the structure on the rail 22.
  • the transport of the load port transport trolley 21 may be carried out by a worker or the like by a trolley moving handle 28 by hand.
  • Self-propelled bogies may be self-propelled together with workers by using power from a power source provided for the self-propelled bogie described above.
  • FIG. 3 shows a state in which the carriage 21 is conveyed on the rail 22 with the load port carrier 21 loaded with the load port 11.
  • FIG. 4 is a diagram for explaining the structure of the load port carrier
  • FIG. 5 is a diagram for explaining the structure of the vertical moving mechanism.
  • 30 is a main body
  • 31 is a weight
  • 32 is a U-shaped frame
  • 33 is an arm guide rail
  • 34 is a hook
  • 35 is a wheel
  • 36 is a wheel.
  • the elevator shaft, 37, 38 are gears
  • 39 is a chain
  • 40 is a toothed portion
  • other reference numerals are the same as those in FIGS.
  • the load port transport trolley 21 has a main body 30 having a hexahedral shape with one front side open to carry the load port 11, and a main body 30. It comprises a weight portion 31 attached to the rear side of the vehicle, a slide mechanism 26 for an arm 23 provided on the upper surface and movable up and down, and wheels 35.
  • the weight portion 31 has an arm 24 projecting forward from the main body portion 30.
  • the slide mechanism 26 for the arm 23 includes a U-shaped (U-shaped) frame 32, an arm guide rail 33 provided on the arm portion of the U-shaped frame 32, and an arm guide rail 3. It is composed of a member having an arm 23 provided with a hook portion 34 at the U-shaped arm portion engaged with the arm 3.
  • An arm slide handle 24 is provided at a portion where the two arms are connected, and the arm 23 can be slid by manually operating the handle 24.
  • the slide mechanism 26 is supported by four elevator shafts 36 that constitute a lift mechanism provided in the main body 30 so as to be movable in the vertical direction.
  • the lift mechanism is associated with one elevator shaft 36, a toothed portion 40 provided on the elevator shaft 36 and meshing with a gear 38, and two elevator shafts 36. And a gear 37 connected to this gear and the arm vertical drive crank 25 described above, and a chain 39 interconnecting these gears 37, 38. ing.
  • the shaft of the gear 38 is a gear that meshes with one of two elevator shafts at the back of the paper of the elevator shaft 36 where the gear 38 shown in FIG. 5 meshes. And in the body 30. With such a lift mechanism, the arm 23 can be driven up and down together with the slide mechanism 26 by manually operating the arm vertical drive crank 25.
  • the load port carrier trolley 21 serves as a wafer carrier carrier. If it is configured to run on its own with the power from the power supply provided for the traveling carriage or with a battery, the weight 31 is equipped with a battery, motor, etc. can do.
  • the lift mechanism is described as being operated by human power. However, the lift mechanism may be electrically driven, or may be driven by air used in the transfer machine 14. You may do so.
  • FIG. 6 is a view for explaining the structure of a mouth port according to an embodiment of the present invention
  • FIG. 7 is a view for explaining a storage state of internal equipment of the load port
  • FIG. FIG. 9 is a perspective view of a state in which the load port carrier has lifted up the load port as viewed from the rear side of the load port, and these will be described below.
  • the semiconductor manufacturing apparatus shown in FIGS. 6 to 9 includes a main body 61, a wafer carrier mounting table 62, a coupling plate 63, a wafer carrier lid opening / closing hook 65, a wafer carrier mounting plate 66, and a front door 66.
  • the load port 11 has a side cutout 68 and a front cutout 65.
  • the main body 61 which is connected to the wafer carrier mounting table 62, is connected to the transfer machine 14 provided on the back, and the opening / closing mechanism of the lid of the wafer carrier 13 is provided.
  • a coupling plate 63 having It is.
  • the periphery of the coupling plate 63 is formed in a flange shape, and the other portion is formed to have a thickness of about 1 Ocm.
  • the lid of the wafer carrier 13 is opened and closed. A mechanism is provided.
  • an operation button 75 for turning on / off the power of the load port 11 and attaching / detaching the wafer carrier 13 and an emergency stop button 76 are provided on the upper surface of the wafer carrier mounting table 6 2.
  • a wafer carrier mounting plate 66 provided with a wafer carrier fixing member 73 for fixing the wafer carrier and a wafer carrier pressing member 74 for moving the wafer carrier 13 in the direction of the coupling plate 63 is provided.
  • the wafer carrier mounting plate 66 is provided with a height adjusting mechanism below the wafer carrier mounting plate 66 so that the upper surface of the wafer carrier mounting plate 66 becomes horizontal. Is done.
  • the side notch 68 formed between the wafer carrier mounting table 62 and the main body 61 engages with the hook 34 of the arm 23 of the load port carrier 21 described above. It is an engagement groove configured in a possible shape.
  • the front notch 69 is shaped so that an operator or the like can take a hand when the load port 11 is to be manually moved from the transfer machine 14. As shown in FIG. 6 (b), it is formed in a key shape inside the wafer carrier mounting table 62. As described above, the load port 11 is lifted because the hooks 34 of the arms 23 of the load port carriage 21 are engaged with the side cutouts 6 2. It is necessary that the wafer carrier mounting table 62 and the main body 61 are firmly connected to each other, and the side cutout 68 is preferably formed in a frame constituting the main body 61.
  • wheels 71 are provided at the lower part of the main body 61 of the load port 11, and the load port 11 is attached to the transfer machine 14.
  • Load port 1 1 An extensible fixing foot portion 70 is provided on the front side of the wafer carrier mounting table 62 so as to adjust the height so that the upper surface of the wafer carrier mounting table 62 is as horizontal as possible.
  • an operation section 78 that can be operated by opening the front door 67 and a connection cable 80 for connection with the transfer machine side are provided inside the load port 11.
  • the connected control board 79 is housed.
  • the operation unit 78 is used to check the operation state of the load port 11 when the load port 11 is maintained.
  • the connection cable 80 is guided on the cable tray 81 via the connector 82 and connected to the transfer machine 14 side. Therefore, when attaching / detaching the load port 11 to / from the transfer machine 14, the load port 11 can be easily connected to / from the transfer machine 14 simply by opening the front door 67 and attaching / detaching the connector 82. It can be attached to and detached from. Since the load port 11 can be attached and detached from the front as described above, the exchange can be performed in a short time.
  • the wafer carrier lid opening / closing plate 12 is provided with a lid opening / closing hook 65 associated with the lid of the wafer carrier 13, and the inside of the coupling plate 63 with the transfer machine 14 has a lid opening / closing lid.
  • a lid opening / closing mechanism 85 including a motor is provided. The lid opening / closing mechanism 85 and the lid opening / closing hook 65 engaged with the lid of the wafer carrier 13 control opening / closing of the lid of the wafer carrier 13.
  • a transfer port receiving plate 83 is provided on the transfer machine side frame 84 at the lower part of the transfer machine 14, and as shown in FIGS. 8 (a) and 8 (b), the load port 11 is When attaching to the transfer machine 14, the lower part of the connecting plate 63 with the transfer machine of the load port 11 is placed on the load port receiving plate 83, and as shown in FIG. The key-shaped hook 86 provided at the upper part is engaged with the fitting hole 20 shown in FIG.
  • coupling plate 6 3 A load port fixing device 77 is provided substantially at the center and lower portion in the vertical direction, and the load port 11 and the transfer device 14 are firmly attached.
  • the load port fixture 77 is formed by a long-axis bolt, and can fix the load port 11 to the transfer machine 14 from the front side of the load port 11.
  • the load port receiving plate 83 is provided so as to protrude from the bottom of the rear plate of the transfer machine 14, and the lower part of the coupling plate 63 of the load port 11 is placed on the load port receiving plate 83.
  • the lower part of the thick part of the connecting plate 63 is placed on the edge of the load port connecting window provided on the back plate of the transfer machine 14 and the load port 11 is connected to the transfer machine 14 Is done.
  • the bottom surface of the load port 111 is floating by about 38 mm from the floor on which the transfer device 14 is installed.
  • the fixing feet 70 are adjusted in height so as to be in close contact with the floor, and the weight of the load port 11 is reduced. To support.
  • the height of the load port above the floor is optional.
  • the load port 11 is supported by the load port receiving plate 83 provided on the transfer machine 14 and the fixing feet 70, whereby the load port 1 Fine adjustment of the position when replacing 1 can be eliminated.
  • the load port transport trolley When using the power from the power source provided for the self-propelled trolley for transporting the wafer carrier, the load port transport trolley must be powered by a power source. A mechanism for taking in is required, and a power supply mechanism for this purpose will be described below.
  • FIG. 10 is a diagram for explaining the configuration when power is supplied to the load port carrier
  • FIG. 10 is a diagram for explaining the electrode structure in the power supply box.
  • the semiconductor substrate processing apparatus is installed in a clean room, and an automatic carriage that transports a wafer carrier containing semiconductor wafers is placed on the floor of the clean room as shown in FIG.
  • a pair of running rails 22 is provided on the base plate 91, and an electrode for supplying power to the bogie is provided between the pair of rails 22.
  • a gap is provided on the upper surface having a width enough for the electrode support bar 95 for supporting the bogie-side electrode 94 to pass through, so that there is no danger when workers walk on the floor.
  • the load port transport trolley 21 is configured to be able to use an external power source and to have a driving device for traveling and a drive device for lift provided therein. It becomes possible to run, and the load port can be lifted electrically.
  • a load port transport trolley for easily moving a port port of a semiconductor substrate processing apparatus and a load port having a structure suitable for transport by the trolley. I was able to.
  • the load port can be quickly attached and detached without interference with other load ports in the vicinity.
  • FIG. 12 shows the relationship between the load port and the arm of the load port carrier.
  • FIG. 13 is a view for explaining another embodiment of the present invention, and FIG. 13 is a view for explaining the structure of a load port according to another embodiment of the present invention to which FIG. 12 is applied. This will be described below.
  • the engaging portion 96 provided at the tip of the arm 23 of the load port carrier 21 and the screw hole provided in the coupling plate 63 of the load port 11 are bolted 98.
  • the load port carrier 21 is lifted up so as to remove the load port 11. Therefore, as shown in FIG. 13, the load port 11 is configured such that the coupling plate 63 is provided with a screw hole 97.
  • the load port carrier 21 is at a height at which the arm 23 operates the arm vertical drive crank 25 to lower the arm 23 most.
  • the arm 23 is configured to be at a position higher than the upper surface of the wafer carrier mounting table 62 of the load port 11 attached to the transfer machine 14.
  • the engaging portion 96 has a vertically extending engaging surface 99, and when the load port 11 is lifted by the screw hole 97, the center of gravity of the load port 11 is not directly below the screw hole 97. Even so, it has a function to prevent the load port 11 from tilting. Further, the engaging portion 96 has a hole (not shown) for receiving the bolt 98.
  • the hook 34 of the arm 23 shown in FIG. 4 is not required, and the interval between the two arms 23 is 4 Set narrower than shown in Fig.
  • the screw hole 97 of the arm 23 is provided at a position higher than the upper surface of the wafer carrier mounting table 62 of the coupling plate 63.
  • the side cutout 68 of the load port 11 shown in FIG. 6 is not required.
  • the worker or the like moves the load port carrier 21 to the load port. 1 Position the load port carrier 2 1 on the front of 1 and operate the arm 2 3 of the load port carrier 2 1 by operating the arm slide handle 2 4, and connect the engaging portion 9 6 at the end of the arm 2 3 with the load port 11 1. Close the screw hole 97 provided in the plywood 63, and engage the bolt 98 with the screw hole 97 through the hole 100. Thereafter, maintenance and repair of the load port 11 are performed by the same operation as described with reference to FIGS.
  • the load port when removing an abnormal load port from a plurality of load ports attached to a mobile machine, the load port is transferred to a holding unit configured as a single port.
  • the load port that has failed can be removed and the load port can be transported to the maintenance area without affecting the other load ports that are in operation.
  • the stopping time can be shortened, and the operation rate of the semiconductor substrate processing apparatus can be improved.
  • the load port which is a large and heavy object, can be removed from the transfer machine by the load port transport trolley and transported, so that the work labor of the workers can be reduced.
  • the present invention it is possible to carry out an abnormal load port from a transfer machine in a short time, so that the load port is transported to a semiconductor substrate processing apparatus.
  • the transfer rate of the load port holding the wafer carrier containing the wafer to be processed and the transfer of the port port holding the wafer carrier holding the processed wafer are not obstructed, so the operating rate of the semiconductor substrate processing equipment is reduced. None lower.
  • the load port is attached so as to maintain a right angle with the transfer machine, the positioning at the time of replacement is easy and the replacement time can be reduced.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

L'invention porte sur un chariot servant au transfert des portillons de chargement d'un appareil de traitement de substrats de semi-conducteurs, et sur un portillon conçu pour être transféré sur le susdit chariot. Ledit portillon, qui reçoit un plateau où sont disposées les tranches de semi-conducteurs en vue de leur traitement dans l'appareil, est conçu pour ouvrir et refermer le couvercle du plateau, et muni d'une partie porteuse entrant en contact avec des bras (23) solidaires du chariot. Le corps (30) du chariot qui s'ouvre frontalement pour recevoir le portillon de chargement (11) est muni d'une paire de bras (23) coulissant vers l'avant du chariot et s'engageant dans la partie rétentrice du portillon de chargement.
PCT/JP1999/000361 1998-01-30 1999-01-28 Portillon de chargement et son chariot de transfert WO1999039386A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP1960398A JPH11220001A (ja) 1998-01-30 1998-01-30 半導体基板処理装置におけるロードポート及びロードポート搬送台車
JP10/19603 1998-01-30

Publications (1)

Publication Number Publication Date
WO1999039386A1 true WO1999039386A1 (fr) 1999-08-05

Family

ID=12003793

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1999/000361 WO1999039386A1 (fr) 1998-01-30 1999-01-28 Portillon de chargement et son chariot de transfert

Country Status (3)

Country Link
JP (1) JPH11220001A (fr)
TW (1) TW426875B (fr)
WO (1) WO1999039386A1 (fr)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1193736A1 (fr) * 2000-09-27 2002-04-03 Infineon Technologies SC300 GmbH & Co. KG Véhicule pour le transport de conteneur de dispositifs à base de semiconducteur vers une station de traitement de semiconducteur
EP1272410A1 (fr) * 2000-04-12 2003-01-08 Asyst Technologies, Inc. Trieuse modulaire
WO2003019630A2 (fr) * 2001-08-31 2003-03-06 Asyst Technologies, Inc. Araignee de connexion unifiee pour systeme de manipulation d'un materiau a semi-conducteur
WO2003021643A2 (fr) * 2001-08-31 2003-03-13 Asyst Technologies, Inc. Systeme de manipulation de materiau semiconducteur
US7066707B1 (en) 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
US7293950B2 (en) 2001-08-31 2007-11-13 Aysts Technologies, Inc. Universal modular wafer transport system
CN102933472A (zh) * 2010-06-10 2013-02-13 村田机械株式会社 搬运系统及搬运系统内的通信方法
WO2016198174A1 (fr) * 2015-06-09 2016-12-15 Integrated Dynamics Engineering Gmbh Dispositif de service pour un module d'installation

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4255676B2 (ja) * 2002-10-31 2009-04-15 シャープ株式会社 基板運搬装置
JP4597708B2 (ja) 2005-02-25 2010-12-15 平田機工株式会社 Foupオープナ
WO2012172915A1 (fr) * 2011-06-14 2012-12-20 村田機械株式会社 Plate-forme mobile, système de véhicule en mouvement au-dessus du sol et procédé permettant de déplacer à la verticale un véhicule en mouvement au-dessus du sol

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08279546A (ja) * 1995-03-28 1996-10-22 Jenoptik Ag 半導体加工装置のためのローディング及びアンローディング用ステーション

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08279546A (ja) * 1995-03-28 1996-10-22 Jenoptik Ag 半導体加工装置のためのローディング及びアンローディング用ステーション

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1272410A1 (fr) * 2000-04-12 2003-01-08 Asyst Technologies, Inc. Trieuse modulaire
EP1272410A4 (fr) * 2000-04-12 2007-02-28 Asyst Technologies Trieuse modulaire
EP1193736A1 (fr) * 2000-09-27 2002-04-03 Infineon Technologies SC300 GmbH & Co. KG Véhicule pour le transport de conteneur de dispositifs à base de semiconducteur vers une station de traitement de semiconducteur
WO2002027766A1 (fr) * 2000-09-27 2002-04-04 Infineon Technologies Sc300 Gmbh & Co. Kg Vehicule de transport transportant un dispositif porteur de semi-conducteur vers un outil de traitement de semi-conducteurs
US6857841B2 (en) 2000-09-27 2005-02-22 Infineon Technologies Sc300 Gmbh & Co. Kg Vehicle for transporting a semiconductor device carrier to a semiconductor processing tool
WO2003019630A3 (fr) * 2001-08-31 2003-11-20 Asyst Technologies Araignee de connexion unifiee pour systeme de manipulation d'un materiau a semi-conducteur
WO2003021643A3 (fr) * 2001-08-31 2003-08-07 Asyst Technologies Systeme de manipulation de materiau semiconducteur
WO2003021643A2 (fr) * 2001-08-31 2003-03-13 Asyst Technologies, Inc. Systeme de manipulation de materiau semiconducteur
US7066707B1 (en) 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
US7100340B2 (en) 2001-08-31 2006-09-05 Asyst Technologies, Inc. Unified frame for semiconductor material handling system
WO2003019630A2 (fr) * 2001-08-31 2003-03-06 Asyst Technologies, Inc. Araignee de connexion unifiee pour systeme de manipulation d'un materiau a semi-conducteur
US7217076B2 (en) 2001-08-31 2007-05-15 Asyst Technologies, Inc. Semiconductor material handling system
US7293950B2 (en) 2001-08-31 2007-11-13 Aysts Technologies, Inc. Universal modular wafer transport system
CN102933472A (zh) * 2010-06-10 2013-02-13 村田机械株式会社 搬运系统及搬运系统内的通信方法
WO2016198174A1 (fr) * 2015-06-09 2016-12-15 Integrated Dynamics Engineering Gmbh Dispositif de service pour un module d'installation

Also Published As

Publication number Publication date
TW426875B (en) 2001-03-21
JPH11220001A (ja) 1999-08-10

Similar Documents

Publication Publication Date Title
TWI434798B (zh) 基板容器儲存系統
TWI434797B (zh) 用以介接基板容器儲存系統的整合系統
WO1999039386A1 (fr) Portillon de chargement et son chariot de transfert
US11338568B2 (en) Storage device and printing system
JP2008546180A (ja) コンベヤと半導体処理ツール搭載ポートとの間のインタフェース装置
US20100204826A1 (en) Transfer apparatus
JP2660226B2 (ja) 熱処理装置
TWI828691B (zh) 搬送系統
US7017713B2 (en) Stacker crane
JP2018041922A (ja) 容器保管設備
CN116648779A (zh) 一种晶圆盒定位固定机构
TW201100309A (en) Conveyance cart
JP4298136B2 (ja) ウェハ移載ロボット着脱用台車
JP2011157185A (ja) 倉庫設備
JP7109863B2 (ja) 搬送システム
JPH11301475A (ja) 搬送車
CN113492467A (zh) 切削装置、托盘以及搬送系统
JP2005294280A (ja) 密閉容器搬送システム
JP7378895B2 (ja) 搬送車
TW202132195A (zh) 前開式晶圓傳送盒移載裝置
JP2006068741A (ja) 部品交換搬送装置およびコーティングライン
JP2000332079A (ja) 半導体製造装置用ロードポート、ロードポート取り付け機構及びロードポート取り付け方法
TWI845649B (zh) 搬送系統
JP7162982B2 (ja) 搬送システム
CN116230582A (zh) 处理基片的系统及其维护方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: KR

122 Ep: pct application non-entry in european phase