WO1992001310A1 - Electronic device provided with metal fluoride film - Google Patents

Electronic device provided with metal fluoride film Download PDF

Info

Publication number
WO1992001310A1
WO1992001310A1 PCT/JP1991/000902 JP9100902W WO9201310A1 WO 1992001310 A1 WO1992001310 A1 WO 1992001310A1 JP 9100902 W JP9100902 W JP 9100902W WO 9201310 A1 WO9201310 A1 WO 9201310A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
electronic device
region
fluoride
metal fluoride
Prior art date
Application number
PCT/JP1991/000902
Other languages
English (en)
French (fr)
Inventor
Tadahiro Ohmi
Original Assignee
Tadahiro Ohmi
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tadahiro Ohmi filed Critical Tadahiro Ohmi
Priority to US07/966,052 priority Critical patent/US5352917A/en
Publication of WO1992001310A1 publication Critical patent/WO1992001310A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition

Definitions

  • the present invention relates to an electronic device provided with a metal fluoride film.
  • the conventional technology will be described using a semiconductor device as an example of an electronic device.
  • the insulating film is an insulating film for separating the electrodes
  • the insulating film is used to deposit a gas containing silicon atoms and a gas containing oxygen atoms on the silicon substrate, metal, It is formed by depositing a silicon oxide film on a substrate by bringing it into contact with the surface of a gold-silicon or silicon oxide film at a high temperature (for example, a temperature of 400 ° C. or higher).
  • this insulating film has a drawback that the formation temperature is high and a low-temperature process cannot be realized.
  • lowering the temperature at which the insulating film is formed can reduce the amount of impurities released from the materials constituting the reaction system and reduce the amount of impurities in the gate oxide film and the interface between the gate oxide film and the silicon caused by impurities. This is necessary to reduce the trap density and realize electrically stable semiconductor devices.
  • Lowering the temperature of the process is also effective in reducing the warpage of the silicon substrate and the strain and defect density in the material of the semiconductor device.
  • the formation temperature of the insulating film is about 40 ° ⁇ ⁇ or less, a metal having a low melting point or for example, a semiconductor device having various functions can be realized.
  • the temperature is 400 ° C. or lower, for example, in the case of an aluminum thin film, no hillock is formed, which is particularly effective.
  • lowering the temperature at which the insulating film is formed is indispensable for realizing ultra-miniaturized LSIs.
  • the conventional technology is a high-temperature process, so that ultra-miniaturized LSI and eventually high-performance semiconductor devices have not been realized.
  • An object of the present invention is to provide an electronic device which can be formed by a low-temperature process and has a metal fluoride film having high processing accuracy. Disclosure of the invention
  • the gist of the present invention resides in an electronic device characterized in that a metal fluoride film is used in at least a part of an insulating film.
  • examples of the electronic device include a semiconductor device, a device having a Josephson junction, and a device having an insulating film formed for various purposes.
  • the semiconductor device examples include a MOS (field effect transistor) and other devices.
  • the insulating film is, for example, an interlayer insulating film, or an insulating film that insulates the gate electrode from the source and / or drain electrode.
  • an insulating film at a junction between superconductors may be used.
  • the metal in the metal fluoride film examples include A £, Ni, Cr, Ti, Ta, W, Mo, and Nb.
  • the alloy is mainly composed of each metal, Good. From the fact that a low-temperature process is possible, the present invention exhibits a particularly remarkable effect particularly in the case of a low melting point metal among these metals and alloys.
  • an alloy containing 0.2 to 15% by weight of Mg is preferable, and an alloy containing 0.2 to 5% by weight of Mg is more preferable.
  • Those having these ranges have particularly good corrosion resistance to corrosive gases and corrosive solutions, and are particularly preferable when the insulating film is used also as a mask in a subsequent step.
  • This insulating film may be formed by a sputtering method using a target made of, for example, a metal fluoride, but is preferably formed by the following method (direct fluorination method). The details of this method are described below.
  • a metal constituting the metal fluoride film is formed at a desired level.
  • This forming method is not particularly limited.
  • Ni it may be carried out by an electroless plating method, or an appropriate method may be selected according to the properties of each metal or the convenience in producing an electronic device.
  • good plating can be obtained by including P (lin) in the plating bath.
  • P (lin) in the plating bath.
  • P disappears from the insulating film after fluorination. It is not clear why this disappears, but since P disappears, the corrosion resistance of the insulating film is not impaired and can be used as masking.
  • the phosphorus content of the Ni film containing phosphorus is not particularly limited, but is preferably 1 to 20% by weight, and more preferably 6 to 12% by weight.
  • the formation of the Ni film containing phosphorus by the non-compressing method is performed, for example, because a nickel film containing phosphorus is selectively formed on a gold film such as an A film.
  • the predetermined region may be etched by reactive ion etching.
  • This procedure mainly consists of the following two steps.
  • a first method in which a gas containing fluorine and a molecule containing fluorine or fluorine is brought into contact with the surface of a metal layer on which a fluoride film is to be formed to form a fluoride film on the surface of the metal layer.
  • the bond between at least one kind of atom constituting the substrate surface in the fluoride film and fluorine is increased.
  • this fluoride film has high corrosion resistance, it can also act as masking in a later step.
  • the gas containing fluorine and a molecule containing Z or fluorine includes, for example, a fluorine gas, a hydrogen fluoride gas, a nitrogen fluoride gas, a xenon fluoride gas, a mixed gas thereof, and a mixture thereof. And an inert gas.
  • Examples of the inert gas used in the first step of the method include a nitrogen gas, an argon gas, a helium gas, and the like.
  • the concentration of the impurity (moisture or hydrocarbon, etc.) in the gas phase should be as follows: It is preferably at most several ppb, more preferably at most several ppb, even more preferably at most 0.1 ppb.
  • the temperature of the substrate in the first step is preferably from 20 to 500 "C, more preferably from 100 to 400 ° C.
  • the pressure of the gaseous phase is not particularly limited, and may be in any of a reduced pressure, a normal pressure, and a pressurized state.
  • the heat treatment (anneal) at 350 ° C. for 2 hours in nitrogen gas does not change the thickness of the fluoride film before and after that, but the binding energy of the fluoride film is increased by the heat treatment. Therefore, in this method, for example, when an aluminum alloy film containing magnesium formed on a silicon substrate is heated in a fluorine gas and then heated in a nitrogen gas, it has a thickness of 3 nm or more, In addition, it has been found that a fluoride film having a strong bond between aluminum and fluorine or magnesium and fluorine can be formed at a low temperature.
  • the thickness of the fluorinated film after heat treatment (anneal) at a temperature of 350 in nitrogen gas for 2 hours does not change before and after that, and the binding energy of the fluorinated film is increased by the heat treatment. Accordingly, in this method, for example, when a nickel-containing alloy film containing phosphorus formed on a silicon substrate is heated in a fluorine gas and then heated in a nitrogen gas, it has a thickness of 3 nm or more. It has been found that a fluoride film having a strong bond between nickel and fluorine can be formed at a low temperature.
  • a gas containing fluorine and Z or a molecule containing fluorine is brought into contact with the surface of a substrate on which a fluoride film is to be formed, thereby forming a fluoride film on the surface of the substrate.
  • the heat treatment (baking) of the substrate is performed in an inert gas, in a vacuum or in a depressurized state before the first step, the formation rate of the fluoride film in the first step is reduced. It is preferable because an increasing effect is recognized. For example, before an aluminum alloy containing magnesium is heated to 300 in fluorine gas to form a fluoride film, the aluminum alloy is heated to 400 in inert gas (baking).
  • the thickness of the fluoride film is about 1.6 times the thickness in the case of backing with 250.
  • Fluoride films of 18 nm are formed in (1), 11 nm in (2), and 10 nm in (3).
  • the cleaning may be performed by, for example, sputter cleaning, light irradiation cleaning, electron beam irradiation cleaning, or the like within an energy range that does not damage the substrate or the formed film.
  • the metal films in regions 29, 30, and 31 in FIG. 1 may be formed, for example, by sputtering aluminum or magnesium containing nickel or nickel nickel containing phosphorus by sputtering or CVD. It is formed by a method or the like. Further, for example, after forming a metal film such as aluminum, aluminum containing magnesium, A £ Si, A £ Cu, ⁇ ⁇ ⁇ CuSi, for example, a Ni film containing phosphorus is sputtered. It is formed by a sputtering method, a CVD method, or an electroless plating method.
  • the thickness of the metal fluoride film in the present invention is not particularly limited, and may be appropriately determined according to the needs of each electronic device.
  • the metal fluoride film of the present invention has excellent insulating properties, it has an advantage that it can be formed to be extremely thin as compared with a conventional insulating film when the purpose is insulating properties. are doing.
  • FIG. 1 is a sectional structural view of a semiconductor device according to an embodiment of the present invention.
  • FIG. 2 is a cross-sectional view showing a manufacturing process of the semiconductor device of the present invention.
  • FIG. 1 is a sectional view of a semiconductor device showing the embodiment. Here, only one pair of CMOSs included in the semiconductor device is shown.
  • 11 is the electrode on the back side of the substrate
  • 1 2 is the p + substrate
  • 13 is the n + buried region
  • 14 is the high-resistance region
  • 15 is the n_ region with a high resistivity
  • 16 is the isolation.
  • the interface between the gate insulating film 25 and the region 14 is formed on the region 14 side from the interface between the regions 17 and 18 and the region 14, and the gate insulating film 26 and The interface of the region 15 is formed closer to the region 15 than the interface between the regions 19 and 20 and the region 15. In this structure, the electric field strength between the source and the drain in the channel portion is reduced, so that the short channel effect is unlikely to occur.
  • the material of the gate compress electrodes 27 and 28 desirably has a high diffusion potential for both the ⁇ + regions 17 and 18 and the P + regions 19 and 20. .
  • a high diffusion potential can be obtained.
  • the gate electrode may be a material having a high work function in both the n + region and the p + region, and may be a high melting point gold-gold-silicide. Therefore, the resistance of the gate electrode is small.
  • the MOS transistor has a large conversion conductance g réelle
  • the joint surface between 20 and region 15 is a flat surface, and the area of the joint surface is small, so that the fringe effect is small, and between the source region and drain region, between the source region and substrate, and between the drain region and substrate. Capacity is small.
  • the materials of the electrodes 29, 30 and 31 are, for example, A £ Mg and NiP, and the resistance of the source electrode and the drain electrode is small. Since the source resistance, drain resistance, and gate resistance are small, the source and drain capacitances are small, and the conversion conductance gm is large, the transistor has excellent high-speed performance.
  • the source electrode and the drain electrode may be made of a metal such as Mo, W, Ta, Ti or the like.
  • the semiconductor device having the structure including the metal fluoride film formed by the present method can realize a semiconductor integrated circuit using a transistor having excellent ultra-high speed.
  • the P + substrate 12 having the n + buried region 13 as the substrate has been described.
  • the operation of the semiconductor device described above may be sapphire, svinel, quartz, A £ N Can be realized by using an insulating substrate such as SiC.
  • a p + substrate is used as the substrate 12
  • a ⁇ + buried region is formed by thermal diffusion of P from a PSG film deposited by a CVD method.
  • the region 13 may be formed by ion implantation of P or As and activation annealing.
  • the isolation region 16, the p region 14, and the n ⁇ region 15 are formed as follows, for example. After thermally oxidizing the surface of the substrate 12 having the buried region 13 by about 10 nm, a PSG film or a BPSG film is formed to a predetermined thickness by a CVD method.
  • the thermal oxide film and the PSG film or the BPSG film corresponding to the regions 14 and 15 are removed by reactive ion etching. Subsequently, S i H ⁇ , Ri by the S i 2 Eta beta or CVD method using the S i H 2 C £ 2, is selected Epitakisharu growing regions 1 4, 1 5.
  • the structure shown in FIG. 2 (a) is formed.
  • the structure is not limited to the above method and may be formed by any other method.
  • the thicknesses of the regions # 4 and # 15 may be appropriately selected depending on the device to be formed, but may be, for example, about 0.03 to 0.5 / im.
  • a metal layer having a thickness of 10 to 20 nm is selectively formed on the surface of the regions 14 and 15, for example.
  • a layer consisting of W, Ta, Ti, Mo, etc. is grown.
  • FIG. 2 (FIG. 2) by selectively implanting, for example, As into the region 14 and B and Si into the region 15 by ion implantation through these metal layers, and then applying an activation anneal.
  • the silicide layers of the regions 21, 22, 23, and 24, and the n + regions 17, 18 and the P + regions 19, 20 are formed.
  • an A £ Mg film of about 0.2 to 1.0 is formed by a sputtering method or a CVD method, for example, and a reactive ion is formed in a predetermined region as shown in FIG. 2 (c). Etch by etching.
  • regions 29, 30, and 31 are fluorinated with ultra-high purity F 2 gas for, for example, 100 hours or 4 hours, and then in an inert gas (for example, N 2 gas) for 150 hours and 5 hours. Then, an insulating layer of a mixture of aluminum fluoride and magnesium fluoride (regions 32, 33, and 34 in FIG. 2 (d)) is formed on the surface of the A £ Mg region. Next, as shown in FIG. 2 (d), using the regions 32, 33, and 34 as a mask, predetermined regions of the metal silicide layer, the n + region, and the P + region are etched by reactive ion etching. Form a contact hole.
  • an inert gas for example, N 2 gas
  • an oxide film is formed on the surface exposed from the contact hole. That is, as shown in FIG. 2 (e), an oxide film is formed by oxidation in the silicide layer, n + region, P + region, and n ⁇ region.
  • the structure of the semiconductor device shown in FIG. 1 is based on the formation of the gate electrodes 27 and 28, the etching of a predetermined region, the formation of the passivation layer 35, and the formation of the electrode 11. Can be created. Industrial applicability
  • the metal nitride film of the present invention has excellent insulating properties, it can be made extremely thin if necessary, and thus a miniaturized electronic device has been realized.
  • the semiconductor device provided with the metal fluoride film has a high conversion conductance, is a short channel, and has excellent high-speed performance.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Superconductor Devices And Manufacturing Methods Thereof (AREA)
  • Formation Of Insulating Films (AREA)

Description

明 細 寄
金厲フ ッ化膜を備えた電子装置
技術分野
本発明は金属フッ化膜を備えた電子装置に関する。 背景技術
従来の技術を、 電子装置と して半導体装置を例にと り説明する。
従来、 半導体装置と しては、 C V D法によ り形成したシリ コ ン酸化膜をその絶縁膜 と して用いたものが知られている。 絶縁膜が電極の間を絶縁分雛するための絶縁膜の 場合、 この絶緣膜は、 シリ コ ン原子を含むガス等と酸素原子を含むガス等をシリ コ ン 基板上のシリ コン、 金属、 金厲シリサイ ドもしく はシリ コン酸化膜の表面に高温 (例 えば 4 0 0 °C以上の温度) で接触させることによ り シリ コン酸化膜を基板上に堆積し て形成されている。
しかし、 この絶緣膜は、 形成するための温度が高く 、 低温プロセスが実現できない という欠点がある。
以下に M O S L S I の絶縁膜の形成を例にとって、 低温プロセスの重要性を述べる
L S I技術の進歩発展はきわめて急速であり、 1 M b i t以上の D R A Mがすでに 実用化されている。 こう した高性能電子デバイス、 すなわち微細で超高集積度のデバ イスを製造するためには、 当然のことながら不確定要素に影響されることの少ない、 よ り制御性のよい高性能な製造プロセスが必要となる。 高性能な製造プロセスと して 、 低温プロセスが挙げられる。
たとえば、 絶縁膜の形成温度の低温化は、 反応系を構成する材料から放出される不 純物量を少なく して不純物に起因するゲー 卜酸化膜中およびゲ一 卜酸化膜とシリ コ ン 界面の トラップ密度を低減し、 電気的に安定な半導体デバイスを実現するために必要 である。
また、 プロセスの低温化は、 シ リ コ ン基板のそり、 半導体デバイス構成の材料中の ひずみおよび欠陥密度を低減するためにも有効である。
さらに、 絶縁膜の形成温度が 4 0 ο ·ϋ程度以下であれば、 融点の低い金属あるいは たとえ アルミニ ム 、 こ なり、 種々の機能を有する半導体デバイスを実現できる。 特に 4 0 0 °C以下であれば 、 例えばアルミニウム薄膜の場合、 ヒロ ックが形成されないため特に有効である。 以上述べたように、 絶縁膜の形成温度の低温化は、 超微細化 L S Iの実現に不可欠 である。
しかるに、 従来の技術は、 高温プロセスであるため超微細化 L S I ひいては高性能 半導体装置は実現されていなかった。
また、 従来の技術においては、 例えば、 M O Sにおける層間絶縁膜 (シリ コ ン酸化 膜) を形成する場合、 C V D法によ り全面にシリ コ ン酸化膜を形成している。 しかし ながら、 この絶縁膜の場合、 コ ンタク トホールの形成に際しては、 マスキングを用い て所定の位置にコンタク トホールの形成を行わざるを得ず、 従って、 いわゆるセルフ ァライ ンによる加工ができず、 加工精度が悪い装置しか実現し得ない。
本発明の目的は、 低温プロセスにより作成可能であり、 また高い加工精度を有する 金属フッ化膜を備えた電子装置を提供することにある。 発明の開示
本発明の要旨は、 絶縁膜の少なく とも一部に金属フヅ化膜が用いられていることを 特徴とする電子装置に存在する。
[実施態様例]
ここで、 電子装置と しては、 例えば半導体装置、 ジョセフソン接合を有する装置、 その他各種目的をもつて形成されている絶縁膜を有する装置があげられる。
さらに、 半導体装置と しては、 例えば M O S (電界効果型トランジスタ) その他の 装置があげられる。 この場合、 絶縁膜は、 例えば、 層間絶縁膜、 あるいは、 ゲー ト電 極とソースおよび/または ドレイ ン電極の間を絶緣分離する絶縁膜が例と してあげら れる。
また、 ジョセフソン接合を有する装置の場合、 超伝導体同士の接合部における絶縁 膜があげられる。
金属フ ッ化膜における金属と しては、 例えば、 A £, N i, C r , T i , T a , W , M o , N b等があげられる。 また、 それぞれの金属を主成分とする合金であっても よい。 低温プロセスが可能であるという ことからは、 これら金属 · 合金のうち、 特に 低融点金属の場合、 本発明は特に顕著な効果を発揮する。
なお、 A £ M g合金の場合、 M g含有量が 0 . 0 2〜 1 5重量%含有するものが好 ま しく 、 0 . 0 2〜5重量%含有するものがよ り好ま しい。 これら範囲のものは、 腐 食性ガス、 腐食性溶液に対する耐食性が特に良好であり、 該絶緣膜を後工程における マスキングと しても使用する場合に特に好ましい。
次に、 金厲フ ヅ化膜の形成方法について説明する。
この絶緣膜は、 例えば金厲フ ッ化物よりなるターゲッ トを用いてスパッタ リ ング法 によ り形成してもよいが、 次の方法 (直接フッ化法) によることが好ま しい。 以下に この方法を詳説する。
(金属層の形成)
まず、 金属フッ化膜を構成する金属を所望の位直に形成する。 この形成方法は特に 限定されない。 例えば、 N i の場合無電解めつき法により行ってもよく 、 それぞれの 金属の性質あるいは電子装置作成上の都合等により適宜の方法を選択すればよい。 な お、 N i の場合であって、 めっき法によ り形成するときは、 めっき浴中に P ( リ ン) を含有せしめることによ り良好なめつきが得られるが、 このようにして形成される N i一 P層をフッ化すると、 フッ化後における絶緣膜中からは Pは消失してしまう。 な ぜ消失するかは明らかではないが、 Pが消失するために、 この絶緣膜の耐食性が損な われることがなく 、 マスキングとして使用することもできる。 リ ンを含む N i膜のリ ン含有量には特に制限はないが、 1〜2 0重量%であることが望ましく 、 6〜1 2重 逢%がさらに望ま しい。
なお、 前記無罨解めつき法によるリ ンを含む N i膜の形成は、 例えば、 A £膜等の 金厲膜上に選択的にリ ンを含むニッケル膜を形成するため、 第 2図 ( c ) に示すよう に所定の領域をリ アクティ ブイオンエッチングによってエッチングした後でもよい。
(フ ッ化処理)
次に、 フ ッ化手順を説明する。 この手順は主と して次の 2工程よ りなる。
すなわち、 フッ素及び Ζ又はフッ素を含む分子を含有するガスを、 フ ッ化膜を形成 しょう とする金属層の表面に接触させて該金属層の表面にフッ化膜を形成する第 1ェ 程、
不活性ガス中において、 前記フッ化膜を例えば 2 0〜5 0 0 °Cの温度で熱処理する ことにより前記フッ化膜中の基体表面を構成する少なく とも一種類の原子とフッ素の 結合を強くする第 2工程、
である。
かかるフッ化処理を行う ことによ り、 金属層の表面にのみフッ化膜が形成される。 従って、 該金属層を例えば M 0 Sの電極とする場合、 フ ッ化膜は横方向にも成長する ため、 ゲート長を短くできる。 また、 第 2図 ( c ) 、 第 2図 ( d ) に示すように、 コ ンタク トホールの形成はセルファライ ンによ り行う ことができるため、 極めて加工精 度の高い M O Sが得られる。
また、 このフッ化膜は高い耐食性を有しているため後工程におけるマスキングと し ての作用をもたすこと もできる。
(第 1工程)
該方法の第 1工程において、 フッ素及び Z又はフッ素を含む分子を含有するガスと は、 例えば、 フッ素ガス、 フッ化水素ガス、 フヅ化窒素ガス、 フッ化キセノ ンガス及 びこれらの混合ガス、 及びこれらと不活性ガスとの混合ガス等があげられる。
該方法の第 1工程で使用される不活性ガスと しては、 例えば、 窒素ガス、 アルゴン ガス、 ヘリ ウムガス等があげられる。
なお、 フッ化反応によ り絶縁膜の特性 (例えば、 絶緣性、 耐食性) の一層の向上を 図る上からはかかる気相中の不純物 (水分あるいはハイ ドロカーボン等) の濃度と し ては、 数十 P P b以下どすることが好ましく 、 数 p p b以下とすることがよ り好まし く 、 0 . 1 p p b以下とすることがさらに好ましい。
第 1工程の前記基体の温度は 2 0〜5 0 0 "Cが好ましく 、 1 0 0〜 4 0 0でがよ り 好ましいが、 4 0 0 °Cに近い温度の方が、 短時間で目的が達成されて実用的な意味が 大きいためさらに好ましい。 前記気相の圧力は特に制限はなく 、 減圧、 常圧、 加圧状 態のいずれの圧力範囲でもよい。
かかる手順によるフッ化膜の具体的な形成例を述べると、
①フッ素ガスと窒素ガスの混合ガス中でマグネシウムを 3 . 5重量%含むアルミ二 ゥム合金を 3 0 0 に 8 0分間加熱した場合、 アルミ二ゥム合金表面にアルミニゥム のフ ッ化物とマグネシウムのフ ッ化物の混合膜が膜厚 1 0 n mで形成される。
窒素ガス中で 3 5 0 °Cの温度で 2時間熱処理 (ァニール) したときのフッ化膜の厚 さはその前後でかわりなく 、 フッ化膜の結合エネルギーは熱処理によって増加してい る。 従って、 該方法では、 例えば、 シ リ コ ン基板上に形成されたマグネシウムを含む アルミニウム合金膜をフッ素ガス中で加熱し、 その後窒素ガス中で加熱すると、 3 n m以上の厚さを有し、 かつアルミニウムとフ ッ素、 マグネシウムとフ ッ素との結合が 強いフ ッ化膜が低温で形成できることが明らかになつた。
②フッ素ガスと窒素ガスの混合ガス中で無電解めつきによって形成されたリ ンを 6 重量%含むニッケル膜を 3 0 0 °Cに 1 0 0分間加熱した場合、 ニッケル合金膜表面に ニッケルのフッ化膜が膜厚で 5 5 n m形成される。
窒素ガス中で 3 5 0 の温度で 2時間熱処理 (ァニール) したときのフ ッ化膜の厚 さはその前後でかわり なく 、 フ ッ化膜の結合エネルギーは熱処理によつて増加してい る。 従って、 該方法では、 例えば、 シリ コ ン基板上に形成されたリ ンを含むニッ ケル 合金膜をフッ素ガス中で加熱し、 その後窒素ガス中で加熱すると、 3 n m以上の厚さ を有し、 かつニッケルとフッ素との結合が強いフッ化膜が低温で形成できることが明 らかになつた。
(前処理 : ベーキング、 ク リーニング)
該第 1工程ではフ ッ素および Zまたはフ ッ素を含む分子を含有するガスを、 フ ッ化 膜を形成しょう とする基体の表面に接触させて該基体の表面にフッ化膜を形成するが 、 前記第 1工程の前に基体の熱処理 (ベ一キング) を不活性ガス中もしく は真空中あ るいは滅圧状態で行なう と、 前記第 1工程でのフッ化膜の形成速度の増大効果が認め られるため好ましい。 たとえば、 マグネシウムを含むアルミニウム合金をフ ッ素ガス 中で 3 0 0 に加熱してフ ッ化膜を形成する前に、 前記アルミニウム合金を不活性ガ ス中で 4 0 0でに加熱 (ベーキング) した場合のフッ化膜の膜厚は、 2 5 0ででべ一 キングした場合の膜厚の約 1 . 6倍である。 すなわち、 ① 4 0 0 ^ X 2時間のベ一キ ング、 ② 2 5 0 °C X 2時間のベーキング、 ③ベーキングなし、 のそれぞれにっき、 3 0 0でにおいて 8 0分間フッ化処理を行なった所、 ①では 1 8 n m、 ②では 1 1 n m 、 ③では 1 0 n mのフ ッ化膜が形成される。
な 、 ベ一キングのかわりに、 あるいはベーキングとともに、 第 1工程前に基体表 面をクリーニングすると、 フッ化膜形成速度の一層の増大を図ることができるため、 ク リーニングを行なうことが好ましい。 ク リーニングはたとえば、 基板あるいは形成 されている膜にダメージを与えないエネルギーの範囲で、 スパッタク リーニング、 光 照射ク リーニング、 電子ビ一ム照射ク リーニング等によ り行なえばよい。
なお、 M 0 Sを例にとつて説明すると、 第 1図の領域 2 9 , 30 , 3 1の金属膜は 、 例えば、 マグネシウムを含むアルミニウム又はリ ンを含む N iニッケルをスパッ タ 法あるいは CVD法等で形成する。 また、 例えば、 アルミニウム、 マグネシウムを含 むアルミニウム、 A£ S i, A £ C u , Α ·β C u S i等の金属膜を形成した後、 例え ばリ ンを含む N i膜等をスパヅ タ法、 CVD法、 もしく は無電解めつき法等で形成す る。
なお、 本発明における金属フッ化膜の膜厚については特に限定はされず、 各電子装 置の必要に応じて適宜決定すればよい。 ただ、 本発明における金属フ ッ化膜は絶縁性 に優れているために、 絶縁性を目的とする場合には、 従来の絶縁膜に比べ極めて薄ぐ 形成することも可能であるという利点を有している。 図面の簡単な説明
第 1図は本発明の実施例の半導体装置の断面構造図である。 第 2図は本発明の半導 体装置の製造工程を示す断面図である。
(符号の説明)
1 1…基板裏面の電極、 1 2 p+ 基板、 1 3 ··· n + 埋め込み領域、 1 4···高抵抗 領域、 1 5…高抵抗率 n- 領域、 1 6 ···絶縁分離領域、 1 7, 1 8 ··· η+ 領域、 1 9 , 2 0… ρ + 領域、 2 1, 2 2 , 2 3 , 24…金属シリサイ ド、 2 5 , 2 6 ·'·シリ コ ン酸化膜 (ゲー ト絶縁膜) 、 27, 28…ゲー ト電極、 2 9 , 30 , 3 1 ···金属電極 、 32, 33, 34…金属フッ化物、 35… P S G膜、 窒化膜である。 発明を実施するための最良の形態
次に、 本発明の実施例を半導体装置を例にと り図面を参照しながら説明する。 第 1図はその実施例を示す半導体装置の断面図である。 なお、 ここでは半導体装置内に含まれる 1対の CMO Sのみを示す。 第 1図で、 1 1 は基板裏面の電極、 1 2は p + 基板、 1 3は n+ 埋め込み領域、 1 4は高抵抗 ー 領域、 1 5は髙抵 抗率 n_ 領域、 1 6は絶緣分離領域、 1 7 , 1 8は n+ 領域、 1 9 , 2 0は p + 領域、 2 1 , 2 2, 2 3, 24は M o S i 2,WS i 2 , T a S i 2 , T i S i 2 もしく は P d2 S i等の金厲シリサイ ド、 2 5 , 2 6はシリ コン酸化膜 ( ゲート絶緣膜) 、 27 , 28はゲート鼋極、 2 9, 30 , 3 1は A £ M gもしく は N i P等の金属鴛極、 32 , 33, 34は、 電極 2 7 , 28, 2 9, 30, 3 1を絶 縁分離するための上記の方法によ り形成された金属フ、ジ化膜 35は パヅシベーショ ン用 P S G膜もしく は窒化膜である。
第 1図で、 ゲ一 ト絶緣膜 2 5と領域 1 4の界面は領域 1 7 , 1 8と領域 1 4の界面 よ り領域 1 4側に形成されており、 ゲー 卜絶縁膜 2 6と領域 1 5の界面は領域 1 9 , 2 0と領域 1 5との界面より領域 1 5側に形成されている。 この構造では、 チャネル 部のソースと ド レイ ンの間の電界強度が軽減されるため短チャネル効果が起こりにく い
第 1図で、 ゲ一 卜罨極 2 7 , 28の材料は、 η + 領域 1 7 , 1 8と P + 領域 1 9 , 2 0の両方の領域に対して高い拡散電位をもつものが望ましい。 たとえば、 A M g もしく は N i Pにすると、 高い拡散電位が得られる。 A £ M gでは、 n + 領域に対し て 0. 7 V程度、 P + 領域に対して 0. 4 V程度の拡散電位を持つことになる。 もち ろん、 ゲート電極は仕事関数の値が n + 領域、 p + 領域のいずれに対しても高いバリ ァを持つものであればよく 、 高融点金厲ゃ金厲シリサイ ドでもよい。 したがって、 ゲ ート電極の抵抗は小さい。 また、 この構造では、 n+ ソース領域に対する p + 基板 1 2 とゲー 卜電極 2 7の拡散電位によって、 および p + ソース領域に対する n + 埋め込 み領域 1 3とゲー卜電極 2 8の拡散電位によって、 チャネル中に電位障壁を生じさせ 、 チャネル領域 1 4 , 1 5の不純物密度が 1 0 '-〜 1 0 iec m - »程度で M 0 S 卜ラ ン ジス夕でのノーマリオフ特性を実現している。 すなわち、 領域 1 4および領域 1 5は 高抵抗領域であって、 不純物澳度は低く保たれている。 したがって、 電子やホールが 流れるチャネル幅が広く保たれ、 チャネルを走るキヤ リ ァの移動が低下することなく 短チャネルが実現できる。 すなわち、 変換コンダクタンス g» の大きな MO S 卜ラン ジスタとなる。 第 1図で、 n + 領域 1 7と領域 1 4との接合面、 n + 領域 1 8と領 1 4との 面、 p + 領域 1 9と領域 1 5との接合面、 および P + 領域 2 0と領域 1 5との接合面 は平面であり、 接合面の面積が小さいためフ リ ンジ効果が少なく 、 ソース領域と ドレ ィ ン領域間およびソース領域と基板間、 ドレイ ン領域と基板間の容量が小さい。 第 1図において、 電極 2 9 , 30 , 3 1の材料は、 たとえば A £ M g , N i Pであ り、 ソース電極及びドレイ ン電極の抵抗が小さい。 ソース抵抗、 ド レイ ン抵抗、 ゲー ト抵抗が小さく、 また、 ソース、 ド レイ ン容量も小さい上に、 変換コンダクタンス g m が大きいから、 高速性能に優れた トランジスタとなる。 もちろん、 ソース電極およ びド レイ ン電極はたとえば M o , W, T a , T i等の金属でもよい。
このように、 本方法で形成された金属フッ化膜を備えた構造の半導体装置によ り、 超高速性に優れたトランジスタを用いた半導体集積回路を実現できる。
第 1図で、 基板と して n + 埋め込み領域 1 3を備えている P + 基板 1 2について説 明したが、 以上述べた半導体装置の動作はサファイ ア、 スビネル、 石英、 A £ Nもし く は S i C等の絶縁物基板を用いても実現される。
次に第 1図の半導体装置を製作するための製造工程の一例を第 2図に示す。 基板 1 2に p + 基板を用いた場合につき説明する。 p + 基板 1 2の領域 1 3に、 たとえば C V D法で堆積した P S G膜から Pの熱拡散で Π + 埋め込み領域を形成する。 もちろん 領域 1 3は Pもしく は A sのィォン注入及び活性化ァニールで形成してもよい。 分離 領域 1 6、 p 領域 1 4、 n - 領域 1 5はたとえば次のように形成する。 埋め込み領 域 1 3を有す る基板 1 2の表面を数 1 0 nm程度熱酸化した後、 P S G膜あるいは B P S G膜を所定の厚さに C V D法で成膜する。 領域 1 4, 1 5に相当する部分の熱 酸化膜及び P S G膜あるいは B P S G膜をリアクティ ブイオンエッチングによ り除去 する。 続いて、 S i H< , S i 2 Ηβ あるいは S i H 2 C £ 2 を用いた C V D法によ り 、 領域 1 4, 1 5を選択ェピタキシャル成長させる。
このようにして第 2図 ( a) に示す構造が形成されるが、 以上の方法に限らず他の いかなる方法で形成してもよい。 なお、 領域 Γ4 , 1 5の厚さは、 作るデバイスによ り適宜選択すればよいが、 たとえば、 0. 03〜0. 5 /i m程度の値に選べによい。 次に、 領域 1 4 , 1 5の表面上に選択的に 1 0〜2 0 nmの厚さの金属層、 たとえ ば W, T a , T i , M o等よ りなる層を成長させる。 その後、 これらの金属層をスル 一するイオン注入によって、 領域 1 4にたとえば A sを、 領域 1 5にたとえば Bと S i 選択的に打ち込み、 ついで活性化ァニールを施すことによって、 第 2図 ( b ) に 示すように、 領域 2 1 , 2 2 , 2 3, 24のシリ サイ ド層と n + 領域 1 7, 1 8お よび P + 領域 1 9 , 2 0を形成する。 次に、 スパツ タ法もしく は C V D法等で、 0 . 2〜 1. 0 程度のたとえば A £ M g膜を形成し、 第 2図 ( c ) に示すように所 定の領域をリアクティ ブイオンエッチグによってエッチングする。
領域 2 9 , 30 , 3 1の表面を、 超高純度 F 2 ガスを用いてたとえば 1 00 、 4 時間程度フッ化し、 ついで、 不活性ガス (たとえば N2 ガス) 中で 1 50て、 5時間 のァニールを行い、 A £ M g領域表面にフッ化アルミニウムとフ ッ化マグネシウム の混合物の絶縁層 (第 2図 ( d) の領域 32 , 33 , 34 ) を形成する。 次に第 2図 ( d) に示すように、 領域 32, 33 , 34をマスクと して、 金属シリサイ ド層、 n + 領域、 P + 領域の所定の領域をリ アクティブイオンエッチングによりエッチングし 、 コンタク トホールを形成する。
次に、 前述の方法による処理を行えば、 コンタク トホールから露出している面に酸 化膜が形成される。 すなわち、 第 2図 ( e ) に示すように、 シリサイ ド層、 n + 領域 、 P + 領域、 n— 領域に、 酸化によ り酸化膜が形成される。
さらに、 第 1図に示す半導体装置の構造は、 ゲ一 卜電極 2 7, 2 8の形成および所 定領域のエッチング、 パッ シベ一シヨ ン層 35の形成、 そして電極 1 1の形成によつ て作成できる。 産業上の利用可能性
本発明の金属フ ヅ化膜は、 優れた絶緣性を有しているため、 必要に応じて極めて薄 く することも可能であり、 従って、 微細化電子装置を実現された。
セルファライ ンによる作成が可能であるため微細な加工が可能となり、 従って、 微 細加工された高集積度の電子装置が得られる。
なお、 電子装置が MO Sの場合、 この金属フッ化膜を備えた半導体装置は、 高い変 換コンダクタンスを有し、 短チヤンネルであり高速性能に優れている。

Claims

請求 の 範 囲
( 1 ) 絶縁膜の少なく ども一部に金属フッ化膜が用いられていることを特徴とする電 子装置。
( 2 ) 前記電子装置は半導体装置であることを特徴とする請求項 1記載の電子装置。
( 3 ) 前記絶縁膜は M O Sの層間絶縁膜であることを特徴とする請求項 2記載の電子 装置。
( 4 ) 前記絶縁膜はゲー卜電極とソースおよび Zまたはドレイ ン電極の間を絶縁分離 する絶縁膜であることを特徴とする請求項 2記載の電子装置。
( 5 ) 前記電子装置はジヨセフソン接合を有する装置であることを特徴とする請求項 1記載の電子装置。
( 6 ) 前記金属フッ化膜はエツチングのマスク用フッ化膜であることを特徴とする請 求項 1 ないし 5のいずれか 1項に記載の電子装置。
( 7 ) 前記金属フッ化膜はマグネシウムフッ化物とアルミニウムフッ化物の混合膜で あることを特徵とする請求項 1 ないし 6のいずれか 1項に記載の電子装置。
( 8 ) 前記金属フッ化膜はマグネシウムを含むアルミニウムの直接フ ヅ化によって形 成された膜であることを特徴とする請求項 7記載の電子装置。
( 9 ) 前記マグネシウムを含むアルミニウムのマグネシゥム含有量は 0 . 0 2 ~ 5重 量%であることを特徴とする請求項 8記載の電子装置。
( 1 0 ) 前記金属フッ化膜はリ ンを含む二ッケルのフッ化物よりなることを特徴とす る請求項 1 ないし 6のいずれか 1項に記載の電子装置。
( 1 1 ) 前記金属フッ化膜はリ ンを含む二ッケル膜の直接フッ化によつて形成された 膜であるこ とを特徴とする請求項 1 0記載の電子装置。
( 1 2 ) 前記リ ンを含むニッケル膜は無電解メ ツキ法によって形成されたものである ことを特徴とする請求項 1 1記載の電子装置。
PCT/JP1991/000902 1990-07-04 1991-07-04 Electronic device provided with metal fluoride film WO1992001310A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US07/966,052 US5352917A (en) 1990-07-04 1991-07-04 Electronic device provided with metal fluoride film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2177039A JPH0464226A (ja) 1990-07-04 1990-07-04 金属フッ化膜を備えた電子装置
JP2/177039 1990-07-04

Publications (1)

Publication Number Publication Date
WO1992001310A1 true WO1992001310A1 (en) 1992-01-23

Family

ID=16024079

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1991/000902 WO1992001310A1 (en) 1990-07-04 1991-07-04 Electronic device provided with metal fluoride film

Country Status (4)

Country Link
US (1) US5352917A (ja)
EP (2) EP0537351A1 (ja)
JP (1) JPH0464226A (ja)
WO (1) WO1992001310A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100825940B1 (ko) * 2001-04-11 2008-04-29 테크놀라지칼 리소시스 피티와이. 리미티드. 야금용 용기에 가스를 주입하기 위한 장치

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5438129A (en) * 1993-09-27 1995-08-01 Becton Dickinson And Company DNA purification by solid phase extraction using partially fluorinated aluminum hydroxide adsorbant
US6208001B1 (en) * 1994-05-19 2001-03-27 The United States Of America As Represented By The Secretary Of The Navy Gallium arsenide semiconductor devices fabricated with insulator layer
US5419787A (en) * 1994-06-24 1995-05-30 The United States Of America As Represented By The Secretary Of The Air Force Stress reduced insulator
JP3581900B2 (ja) * 1994-10-28 2004-10-27 三菱アルミニウム株式会社 フロロカーボン膜が形成された金属材料、その製造方法並びにその材料を用いた装置
JP3844561B2 (ja) 1997-06-10 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5897358A (en) * 1997-11-28 1999-04-27 Advanced Micro Devices Semiconductor device having fluorine-enhanced transistor with elevated active regions and fabrication thereof
US6351036B1 (en) 1998-08-20 2002-02-26 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with a barrier film and process for making same
US6465887B1 (en) * 2000-05-03 2002-10-15 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with diffusion barrier and process for making same
US7445976B2 (en) * 2006-05-26 2008-11-04 Freescale Semiconductor, Inc. Method of forming a semiconductor device having an interlayer and structure therefor
WO2017175562A1 (ja) * 2016-04-05 2017-10-12 関東電化工業株式会社 材料、この材料を用いた保存容器、この保存容器に取り付けられるバルブ、並びに、ClFの保存方法、ClFの保存容器の使用方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6299456A (ja) * 1985-10-25 1987-05-08 Hitachi Ltd 金属フツ化物薄膜の形成方法
JPS62145843A (ja) * 1985-12-20 1987-06-29 Nec Corp 半導体装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5023595A (ja) * 1973-06-29 1975-03-13
JPS60105285A (ja) * 1983-11-12 1985-06-10 Nippon Telegr & Teleph Corp <Ntt> ジヨセフソン集積回路作製用基板
JPS60237500A (ja) * 1984-05-11 1985-11-26 日本電気株式会社 マルチパルス型ボコ−ダ
JPS60239444A (ja) * 1984-05-15 1985-11-28 Honsyu Kagaku Kogyo Kk シクロヘキシルアミンの製造法
JPS62288719A (ja) * 1986-06-05 1987-12-15 Ebara Res Co Ltd 動圧スラスト軸受
JPS63268518A (ja) * 1987-04-24 1988-11-07 Mitsubishi Heavy Ind Ltd ロ−ラレベラ
US4998710A (en) * 1987-05-22 1991-03-12 Union Carbide Industrial Gases Technology Corporation Apparatus for holding and refining of molten aluminum
JPS6431475A (en) * 1987-07-28 1989-02-01 Univ Tokyo Superconducting device and forming method thereof
JP2954716B2 (ja) * 1990-03-08 1999-09-27 三菱アルミニウム株式会社 フッ化不働態膜を形成した工業材料およびその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6299456A (ja) * 1985-10-25 1987-05-08 Hitachi Ltd 金属フツ化物薄膜の形成方法
JPS62145843A (ja) * 1985-12-20 1987-06-29 Nec Corp 半導体装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100825940B1 (ko) * 2001-04-11 2008-04-29 테크놀라지칼 리소시스 피티와이. 리미티드. 야금용 용기에 가스를 주입하기 위한 장치

Also Published As

Publication number Publication date
EP0725429A3 (en) 1997-01-29
JPH0464226A (ja) 1992-02-28
EP0725429A2 (en) 1996-08-07
EP0537351A4 (ja) 1994-01-19
EP0537351A1 (en) 1993-04-21
US5352917A (en) 1994-10-04

Similar Documents

Publication Publication Date Title
US6057232A (en) Wiring structure for semiconductor device and fabrication method therefor
US5084417A (en) Method for selective deposition of refractory metals on silicon substrates and device formed thereby
US5302552A (en) Method of manufacturing a semiconductor device whereby a self-aligned cobalt or nickel silicide is formed
TWI255007B (en) Method of fabricating a semiconductor device having reduced contact resistance
US6057223A (en) Passivated copper conductive layers for microelectronic applications
US5221853A (en) MOSFET with a refractory metal film, a silicide film and a nitride film formed on and in contact with a source, drain and gate region
WO1992001310A1 (en) Electronic device provided with metal fluoride film
JP3149406B2 (ja) 半導体装置の製造方法
JPH07161659A (ja) 半導体装置およびその製造方法
US5202287A (en) Method for a two step selective deposition of refractory metals utilizing SiH4 reduction and H2 reduction
US5013526A (en) Superconducting alloys comprising tungsten, molybdenum, silicon and oxygen
WO1990013911A1 (en) Method of forming oxide film
JPH04233762A (ja) 室温で生成しうる銅−半導体複合体及びその形成方法
WO1990013912A1 (en) Silicon oxide film and semiconductor device having the same
JPS609160A (ja) 半導体装置およびその製造方法
JPH08255770A (ja) 半導体装置の製造方法
JPS61135156A (ja) 半導体装置およびその製造方法
JP2000124310A (ja) 半導体装置およびその製造方法
JPS61183961A (ja) 電極の製造方法
JPH04299825A (ja) 半導体装置の製造方法
JP3119505B2 (ja) 半導体装置
KR960002067B1 (ko) 반도체장치 및 그의 제조방법
JPS6138264B2 (ja)
JPH06275620A (ja) 半導体集積回路配線構造体
JP2594702B2 (ja) シリコン酸化膜及びそれを備えた半導体装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IT LU NL SE

WWE Wipo information: entry into national phase

Ref document number: 1991911738

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1991911738

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 1991911738

Country of ref document: EP