US7732794B2 - Extreme ultra violet light source apparatus - Google Patents

Extreme ultra violet light source apparatus Download PDF

Info

Publication number
US7732794B2
US7732794B2 US12/071,250 US7125008A US7732794B2 US 7732794 B2 US7732794 B2 US 7732794B2 US 7125008 A US7125008 A US 7125008A US 7732794 B2 US7732794 B2 US 7732794B2
Authority
US
United States
Prior art keywords
wire
target material
ultra violet
violet light
extreme ultra
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US12/071,250
Other languages
English (en)
Other versions
US20080197298A1 (en
Inventor
Tamotsu Abe
Yoshifumi Ueno
Takayuki Yabu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Gigaphoton Inc
Original Assignee
Komatsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Komatsu Ltd filed Critical Komatsu Ltd
Assigned to KOMATSU LTD. reassignment KOMATSU LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ABE, TAMOTSU,, UENO, YOSHIFUMI, YABU, TAKAYUKI
Publication of US20080197298A1 publication Critical patent/US20080197298A1/en
Application granted granted Critical
Publication of US7732794B2 publication Critical patent/US7732794B2/en
Assigned to GIGAPHOTON INC. reassignment GIGAPHOTON INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KOMATSU LTD.
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Definitions

  • the present invention relates to an extreme ultra violet (EUV) light source apparatus to be used as a light source of exposure equipment.
  • EUV extreme ultra violet
  • the EUV light source there are three kinds of light sources, which include an LPP (laser produced plasma) light source using plasma generated by applying a laser beam to a target (hereinafter, also referred to as “LPP type EUV light source apparatus”), a DPP (discharge produced plasma) light source using plasma generated by discharge, and an SR (synchrotron radiation) light source using orbital radiation.
  • LPP laser produced plasma
  • DPP discharge produced plasma
  • SR synchrotron radiation
  • the LPP light source has advantages that extremely high intensity close to black body radiation can be obtained because plasma density can be considerably made larger, that light emission of only the necessary waveband can be performed by selecting the target material, and that an extremely large collection solid angle of 2 ⁇ steradian can be ensured because it is a point light source having substantially isotropic angle distribution and there is no structure surrounding the light source such as electrodes. Therefore, the LPP light source is considered to be predominant as a light source for EUV lithography requiring power of more than several tens of watts.
  • Target material supplied into a vacuum chamber is irradiated with a laser beam, and the target material is excited into plasma state. From this plasma, light-components with various wavelengths including the EUV light are radiated. Then, a light component with a desired wavelength (e.g., component with a wavelength of 13.5 nm) is selectively reflected and collected by using an EUV collector mirror and outputted to exposure equipment.
  • a multi-layered film Mo/Si multi-layered film
  • Mo molybdenum
  • Si silicon
  • Japanese Patent Application Publication JP-P2006-244837A discloses a laser plasma radiated light generating apparatus comprising means for supplying material, which is solid at a room temperature, continuously for a long time by using a simple device operated with a simple adjustment.
  • the laser plasma radiated light generating apparatus ejects a solution containing fine particles from a nozzle to generate a liquid jet or a liquid droplet, irradiates the liquid jet or liquid droplet with a pulse laser beam to evaporate the solvent thereof by heat, and consecutively after a delay time of 0.1 ⁇ s or more, irradiates the heated liquid jet or liquid droplet with another pulse laser beam to generate-plasma.
  • Japanese Patent Application Publication JP-A-11-250842 discloses a laser plasma light source which generates little debris and has a high conversion efficiency using a solid target.
  • the laser plasma light source uses a solid target formed with a hollow at a part thereof irradiated with a laser beam, ablates an inside wall of the hollow by using a pulse laser for ablation, irradiates the hollow with a pulse laser beam for heating, after having waited for generation of a high density portion of evaporated material in the space within the hollow, and then excites the high density portion into high temperature plasma to generate a radiation.
  • the solid target material there is used tin having high conversion efficiency from driver laser light energy to EUV light energy.
  • solid tin melts and flies off at an elevated temperature by irradiation of the driver laser, and debris thereof deteriorates an efficiency to generate the EUV light. Therefore, conventionally, a target in a state of a droplet, in which fine particles of tin with diameters of about 20 ⁇ m to 200 ⁇ m are dispersed into liquid, is transferred into an irradiation space of a laser beam thereby to minimize debris generated.
  • a target is irradiated with driver laser light having a power of about 10 kW at a repetition frequency of about 100 kHz. Therefore, it is required to supply the target fast and continuously, and further, it becomes a problem how to dissipate heat caused by irradiation of the driver laser light having the power of about 10 kW.
  • the present invention has been achieved in view of these problems.
  • the purpose of the present invention is to supply a solid target fast and continuously while successfully dissipating heat caused by irradiation of driver laser light in an extreme ultra violet light source apparatus having a comparatively large output power for exposing.
  • an extreme ultra violet light source apparatus for generating extreme ultra violet light by applying a laser beam onto target material, and includes: a chamber in which extreme ultra violet light is generated; a target material supplying unit which coats a wire with target material; a wire supplying unit which supplies the wire coated with the target material to a predetermined position within the chamber; a driver laser which applies a laser beam onto the wire coated with the target material to generate plasma; and a collector mirror which collects the extreme ultra violet light radiated from the plasma and outputs the extreme ultra violet light.
  • a wire coated with target material is irradiated with a laser beam, and thereby, a solid target can be supplied fast and continuously while heat caused by irradiation of driver laser light is being successfully dissipated.
  • FIG. 1 is a schematic diagram illustrating a configuration of an EUV light source apparatus according to a first embodiment of the present invention
  • FIG. 2 is a diagram showing wavelengths and critical densities of a CO 2 laser and a Nd:YAG laser;
  • FIG. 3 is a diagram illustrating condition in which laser beams are reflected from the vicinity of a target
  • FIG. 4 is a diagram illustrating a detailed configuration of a wire supplying unit and so on;
  • FIGS. 5A and 5B are diagrams illustrating examples of a configuration for expediting heat dissipation of a wire
  • FIGS. 6A and 6B are diagrams illustrating examples of a configuration for preliminary cooling
  • FIG. 7 is a diagram illustrating a first specific example of the target material supplying unit shown in FIG. 1 ;
  • FIG. 8 is a diagram illustrating a second specific example of the target material supplying unit shown in FIG. 1 ;
  • FIG. 9 is a diagram illustrating a third specific example of the target material supplying unit shown in FIG. 1 ;
  • FIG. 10 is a diagram illustrating a fourth specific example of the target material supplying unit shown in FIG. 1 ;
  • FIG. 11 is a diagram illustrating a configuration of an EUV light source apparatus according to a second embodiment of the present invention.
  • FIG. 12 is a diagram illustrating a specific example of a pressure retaining means to be used in the second embodiment of the present invention.
  • FIG. 1 is a schematic diagram illustrating a configuration of an EUV light source apparatus according to a first embodiment of the present invention.
  • the EUV light source apparatus according to the present embodiment employs the laser produced plasma (LPP) type in which EUV light is generated by excitation of target material with irradiation of a laser beam.
  • LPP laser produced plasma
  • the EUV light source apparatus is provided with a vacuum chamber 10 in which the EUV light is generated, a wire supplying unit 11 for supplying a wire 1 coated with target material to a predetermined position in the vacuum chamber 10 , a surface profile forming unit 12 for forming a surface profile of the wire 1 coated with the target material, a driver laser 13 for generating an exciting laser beam 2 to be applied onto the wire 1 coated with the target material, a laser beam focusing optics 14 for focusing the exciting laser beam 2 generated by the driver laser 13 , an EUV collector mirror 15 for collecting and outputting EUV light 4 emitted from plasma 3 generated by applying the exciting laser beam 2 onto the wire 1 coated with the target material, a wire cooling unit 16 for cooling the wire 1 applied with the laser beam, and a target material supplying unit 17 for coating the wire 1 cooled by the wire cooling unit 16 with the target material.
  • the vacuum chamber 10 is provided with an input window 18 for inputting the exciting laser beam 2 and an output window 19 for outputting the EUV light radiated from the plasma 3 to exposure equipment.
  • the inside of the exposure equipment is kept in vacuum or a reduced pressure state as well as the inside of the vacuum chamber 10 .
  • the wire supplying unit 11 , the surface profile forming unit 12 , the wire cooling unit 16 , and the target material supplying unit 17 are disposed inside the vacuum chamber 10 .
  • the wire 1 coated with the target material is transferred by the wire supplying unit 11 , formed to have a surface profile suitable for EUV light generation by the surface profile forming unit 12 , and then supplied to the predetermined position within the vacuum chamber 10 .
  • the driver laser 13 is a laser beam source capable of performing pulse-oscillation at a high repetition frequency (e.g., a pulse width of about several nanoseconds to several tens of nanoseconds, and a repetition frequency of about one kilohertz to one hundred kilohertz).
  • the laser beam focusing optics 14 is constituted from at least one lens and/or at least one mirror. The laser beam 2 focused by the laser beam focusing optics 14 irradiates the wire 1 coated with the target material at the predetermined position within the vacuum chamber 10 , and thereby, part of the target material is excited into plasma state and light components with various wavelengths are radiated from an emitting point.
  • the emitting point means a position where the plasma 3 is generated.
  • the EUV collector mirror 15 is a collecting optics for collecting a light component with a predetermined wavelength (e.g., EUV light with a wavelength near 13.5 nm) by selective reflection among the light components with various wavelengths radiated from the plasma 3 .
  • the EUV collector mirror 15 has a concave reflecting surface, on which a multi-layered film of molybdenum (Mo) and silicon (Si) is formed to selectively reflect the EUV light with a wavelength near 13.5 nm, for example.
  • the EUV light is reflected in a right direction by the EUV collector mirror 15 and collected to an intermediate focusing point, and then output into the exposing device.
  • the collecting optics of the EUV light is not limited to the EUV collector mirror 15 as shown in FIG. 1 , and may be constituted by a plurality of optical components. In this case, however, the alternative optics needs to be also a catadioptric system for suppressing EUV light absorption thereof.
  • the wire 1 irradiated with the laser beam 2 is cooled by the wire cooling unit 16 . While part of the wire 1 irradiated with the laser beam 2 lacks the target material, this is filled by the target material supplying unit 17 , and thereby, the target material can be continuously supplied.
  • the wire 1 coated with the target material by the target material supplying unit 17 is retrieved by the wire supplying unit 11 .
  • the driver laser 13 a CO2 laser is used which can generate light having a comparatively long wavelength. Further, as the target 1 , tin (Sn) is used. The reason is as follows.
  • the low temperature high density plasma region becomes a heat source that generates a lot of debris from the target material after the laser beam irradiation. This heat source forms a melted layer on the surface of the target and a melted metal is ejected by the expanding force of the plasma to fly off, resulting in the debris generated.
  • a mechanism of the laser beam absorption in the plasma is an absorption mechanism that is an inverse process of bremsstrahlung in which an electromagnetic wave (laser beam) is radiated when an electron gets acceleration in an electric field of an ion, and it is called inverse bremsstrahlung.
  • the inverse bremsstrahlung is the most basic absorption mechanism occurring in laser generation plasma, and is also called a classic absorption. Electrons vibrated by a high frequency electric field causes energy absorption while colliding with ions.
  • an electromagnetic wave (laser beam) can be propagated only when having a higher frequency than an electron plasma frequency. That is, when an angular frequency of an laser beam is denoted by ⁇ L and a angular frequency of an electron plasma is denoted by ⁇ P , the laser beam can be propagated only in a low density plasma region where ⁇ L > ⁇ P .
  • the laser beam is propagated from a lower density region to a higher density region in the plasma while being absorbed, and is reflected in the critical density region. That is, the laser beam is absorbed in going paths to the critical density region and returning paths from the critical density region in the plasma. Accordingly, when the critical density is higher, higher density plasma can absorb energy, but, at the same time, there arises a greater risk of generating a low temperature high density plasma region which causes debris generation.
  • FIG. 2 shows wavelengths and critical densities of a CO 2 laser and a Nd:YAG laser.
  • the CO 2 laser has an output laser beam with a one order longer wavelength ⁇ and thereby provides a two order lower critical density N C , compared with the Nd:YAG laser.
  • N C critical density
  • FIG. 3 a laser beam output from the CO 2 laser is reflected at a high temperature low density region considerably distant from a target surface.
  • the horizontal axis represents plasma electron density N E corresponding to a distance from the target surface.
  • the Nd:YAG laser there is shown a case of a fundamental wave ⁇ (wavelength of 1,064 nm) and a case of the second harmonic wave 2 ⁇ (wavelength of 532 nm).
  • Using the CO 2 laser for the driver laser suppresses generation of the low temperature high density plasma region, which becomes a heat source generating debris rather than contributing to generate the EUV light, and thereby, hinders melting of the surface of the solid target, and reduces significantly neutral particles which are emitted from the target and attach to the reflecting surface of the EUV collector mirror.
  • a high-speed ion radiated also from plasma cuts off the multi-layered film formed on the reflecting surface of the EUV collector mirror.
  • the condition thereof is determined mainly by the intensity and/or the pulse width of the exciting laser beam generated by the CO 2 laser.
  • the intensity of the exciting laser beam is determined preferably to be 3 ⁇ 10 9 W/cm 2 to 5 ⁇ 10 10 W/cm 2 , and more preferably to be 5 ⁇ 10 9 W/cm 2 to 3 ⁇ 10 10 W/cm 2 .
  • the pulse width of the exciting laser beam is preferably determined to be comparatively short as about 10 ns to 15 ns.
  • the exciting laser beam has an upper limit in the intensity thereof so as not to expand unnecessarily a melted area on a target surface by providing excessive heat to the target, and thereby, debris generation can be suppressed.
  • the intensity of the exciting laser provide a great effect to an EUV conversion efficiency (CE) and thereby has a lower limit to keep the EUV conversion efficiency better than a certain level.
  • CE EUV conversion efficiency
  • the laser beam intensity is represented by the following formula.
  • Laser beam intensity (W/cm 2 ) Laser beam energy ( J )/ ⁇ Pulse width( s ) ⁇ Spot area(cm 2 ) ⁇
  • the spot area of the laser beam is substantially 7.85 ⁇ 10 ⁇ 5 cm 2
  • the laser beam energy is determined to meet these conditions. For example, if the pulse width of the exciting laser beam is 12.5 ns, the laser beam energy becomes substantially 30 mJ.
  • FIG. 4 is a diagram illustrating detailed configuration of the wire supplying unit and so on shown in FIG. 1 .
  • the wire supplying unit 11 ( FIG. 1 ) includes a wire drum 11 a , wire tension adjusting part 11 b , and guide pulleys 11 c and 11 d .
  • the wire drum 11 a around which the loop wire 1 is wound, transfers the wire 1 and retrieves the wire 1 by rotation.
  • the wire tension adjusting part 11 b is constituted from, for example, a tension pulley biased with a spring and adjusts a tension of the wire 1 with a spring force.
  • the guide pulleys 11 c and 11 d define trajectory of the wire 1 .
  • Rotating the wire drum 11 a enables the wire 1 coated with the target material to be supplied continuously. Considering the wire 1 might be damaged, the wire 1 is wound more than several turns around the wire drum 11 a for keeping a stock of the wire 1 . After being used predetermined times, the wire 1 is replaced with a new one.
  • Materials capable of being used for the wire 1 include metals having an excellent thermal conductivity such as cupper (thermal conductivity of 390 W/mK), tungsten (thermal conductivity of 130 W/mK), and molybdenum (thermal conductivity of 145 W/mK), and metals having a high melting point such as tungsten (melting point of 3,382° C.), tantalum (melting point of 2,996° C.), and molybdenum (melting point of 2,622° C.).
  • a wire having a multi-layered structure may be used.
  • tungsten having an excellent thermal conductivity and a high melting point is used for the material of the wire 1 .
  • the wire 1 needs to have a diameter (e.g., about several millimeters) such that the wire can be robust against deformation required for the winding around the wire drum 11 a . Also for efficient heat dissipation, it is better for the diameter of the wire 1 to be greater to some extent.
  • a forming pulley 12 a which has a plurality of protrusions meshing with a grove of the guide pulley 11 c is provided.
  • the tin plate better has a grove or a hollow on the surface thereof. Therefore, the forming pulley 12 a rotates together with the guide pulley 11 c when the wire 1 is transferred, and pushes the plurality of protrusions to the wire 1 , and thereby, forms V-shape grooves or hollows having a predetermined profile on the surface of the tin coated on the wire 1 .
  • the generation efficiency of EUV light is improved and a highly efficient EUV light source can be realized.
  • a transfer speed of the wire 1 and a pitch of the protrusions of the forming pulley 12 a need to be arranged such that a repetition period of the driver laser 13 ( FIG. 1 ) and a pitch of the grooves or hollows formed on the wire 1 correspond to each other.
  • a profile of the protrusions of the forming pulley 12 a is arranged so as to increase the generation efficiency of the EUV light.
  • the protrusion of the forming pulley 12 a has a cylindrical shape and the diameter or the height thereof is optimized.
  • a hollow may be formed on the surface of the target material coated on the wire 1 by using a laser instead of the forming pulley 12 a .
  • a laser instead of the forming pulley 12 a .
  • a cooling pulley 16 a cooled with cooling water is provided as the wire cooling unit 16 ( FIG. 1 ).
  • the wire 1 and the cooling pulley 16 a are disposed in a vacuum, and therefore, there is a possibility that insufficient contact between the wire 1 and the cooling pulley 16 a causes a kind of thermal insulation to prevent the heat of the wire 1 from being dissipated.
  • a nozzle 16 b may be provided near the cooling pulley 16 a , and a low temperature cooling gas such as argon (Ar) or helium (He) may be made to flow from the nozzle 16 b toward the wire 1 and the cooling pulley 16 a , and thereby, the heat dissipation of the wire 1 will be expedited.
  • a low temperature cooling gas such as argon (Ar) or helium (He)
  • gas ejection holes 16 c may be formed in the cooling pulley 16 a , and the low temperature cooling gas such as argon or helium may be made to flow from the gas ejection holes 16 c toward the wire 1 , and thereby, the heat dissipation of the wire 1 will be expedited.
  • the cooling pulley 16 a may be disposed far from the plasma generation point or may be disposed close to the plasma generation point. In an extreme case, the cooling pulley 16 a may be disposed on the rear side of the part of the wire 1 irradiated with the laser beam. In that case, since the cooling pulley 16 a is disposed in an EUV light path, the cooling pulley 16 a is desired to be made thinner so as not to interrupt the EUV light. Further, a plurality of cooling pulleys may be disposed.
  • FIGS. 6A and 6B are diagrams illustrating configuration examples for performing the preliminary cooling.
  • the wire 1 is cooled to ⁇ 150° C. by the cooling pulley 16 a , and then, the wire 1 is transferred into the plasma generation space, and thereby, a temperature rise margin up to the melting point of tin becomes substantially 380° C.
  • tin does not melt as far as the temperature rise of the wire 1 is 380° C. or less and tin in the original solid state can be supplied into the plasma generation space. Thereby, the EUV light can be generated stably.
  • an upstream side nozzle 16 d and a downstream side nozzle 16 e may be provided to feed the wire 1 through the insides thereof.
  • a low temperature cooling gas such as argon or helium may be supplied from a gas inlet 16 f provided at a predetermined position of the upstream side nozzle 16 d into the inside of the upstream side nozzle 16 d , and the low temperature cooling gas maybe sprayed to the periphery of the wire 1 . Since the evaporation temperature of the argon gas is substantially ⁇ 180° C. and the evaporation temperature of the helium is substantially ⁇ 268° C., the use of the helium gas for the cooling gas can make a cooling effect greater.
  • FIG. 7 is a diagram illustrating a specific example of the target material supplying unit shown in FIG. 1 .
  • the target material supplying unit 17 has a container 17 a for storing melted tin and a pulley 17 b rotatably held inside the container 17 a .
  • Solid tin is put into the container 17 a kept at not less than substantially 235° C. higher than the melting point of tin (232° C.), and melted to form a tin bath. Since tin has a low vapor pressure in a vacuum, little tin vapor is generated by melting tin. Accordingly, the container 17 a is not required to be hermetic and can be disposed in a vacuum in an open state.
  • the container 17 a is easily replenished with tin.
  • tin For expediting re-melting of tin, it is desirable to control the temperature of melted tin to, for example, 500° C. higher than the melting point of tin (232° C.) and lower than the vaporization temperature of tin (2,602° C.).
  • the wire 1 As the material of the pulley 17 b , stainless steel (SUS) can be used, for example.
  • SUS stainless steel
  • the wire 1 is fed through the melted tin in the container 17 a guided by the pulley 17 b , and thereby, tin on the surface of the wire 1 is melted and reattachment of tin is carried out. In this manner, the wire 1 is put into the melted tin, and tin on the surface thereof is once melted and tin reattaches from the melted tin to the surface of the wire 1 . Then the wire 1 to which tin has attached is cooled, and thereby, the tin target, which always has a new surface state, can be supplied.
  • impurities such as tin oxide floating on the surface layer of the melted tin attaches to the wire 1 resulting in an adverse effect to the EUV generation from the plasma, and tin having attached to the wire 1 is not melted and the diameter of the wire 1 after the reattachment becomes non-uniform.
  • it is effective to provide means for preventing the tin oxide from generating by replacing the inside of the container 17 a with a gas such as hydrogen.
  • a gas such as hydrogen
  • a pipe 17 c having a hole diameter slightly larger than the diameter of the wire 1 is provided on an output side of the wire 1 in the container 17 a , and thereby, an amount of the impurities attaching to the wire can be reduced.
  • the lower end of the pipe 17 c is positioned on the lower side of the liquid level of the melted tin
  • the upper end of the pipe 17 c is positioned on the upper side of the liquid level of the melted tin.
  • a scraper 17 a may be provided in the container 17 d .
  • the scraper 17 d is positioned on the lower side of the liquid level of the melted tin.
  • the surface roughness of the wire 1 may be intentionally increased, or the surface of the wire 1 may be applied with a finishing like knurling.
  • a material having a good attachment property for tin such as cupper may be used.
  • a forming pulley may be provided. Thereby, it is possible to keep uniform the diameter of the wire including the attached tin layer.
  • a liquid level detector 17 e monitoring the liquid level of the melted tin is provided in the container 17 a , and, when the liquid level becomes lower than a predetermined level, solid tin is put into the melted tin and the tin replenishing is carried out.
  • a thermo-couple for detecting the liquid level by temperature or a laser displacement meter for detecting the liquid level by laser light reflection can be used. According to the present embodiment, only a surface part irradiated with the laser beam flies off from the tin coated on the wire 1 , and tin consumption can be smaller compared with a case using a tin droplet as the target.
  • FIG. 11 is a diagram illustrating a configuration of an EUV light source apparatus according to the second embodiment of the present invention.
  • a wire cooling unit 16 is disposed inside a vacuum chamber 10
  • a wire supplying unit (wire drum 11 a , wire tension adjusting part 11 b , and guide pulleys 11 c and 11 d ), a surface profile forming unit 12 , and a target material supplying unit 17 are disposed outside the vacuum chamber 10 (in the air atmosphere).
  • the pressure retaining means is provided at a wire inputting part 21 and a wire outputting part 22 of the vacuum chamber 10 .
  • the other points are the same as the first embodiment.
  • FIG. 12 is a diagram illustrating a specific example of the pressure retaining means to be used in the second embodiment of the present invention.
  • a member (multistage labyrinth) 23 which is constituted by arranging a plurality of plates in parallel, each of the plates having an opening with a diameter slightly larger than that of the wire 1 , is used for separating an air atmosphere transferred through and a vacuum atmosphere.
  • the wire 1 is let into the opening of the multistage labyrinth 23 , a micro-gap is generated between each of the plates and the wire 1 . Accordingly, by vacuum pumping of spaces among the plates by using exhausting pumps 24 and 25 , it is possible to keep a pressure difference between the air atmosphere and the vacuum atmosphere.
  • the multi-stage labyrinth 23 is preferably not contact with the wire 1
  • the multi-stage labyrinth 23 may come into contact with the wire 1 when the multi-stage labyrinth 23 is made from a flexible material such as rubber, for example.
  • the opening of the plate may be formed by piercing a pipe shaped member through the plate, instead of forming the hole in the plate.
  • the wire supplying unit and so on are disposed outside the vacuum chamber 10 . Thereby, the wire 1 is easily exchanged, and the mechanisms such as the wire drum 11 a need not be accommodated within a vacuum, resulting in a low cost production of an EUV light source apparatus.
  • the use of the tin-coated wire and the use of the tin plate have advantages. In these cases, it is possible to select arbitrarily a repetition frequency of the driver laser light. On the other hand, in the case of using the tin droplet, the repetition frequency of the driver laser light is limited depending on a droplet generation frequency, and a control for synchronization thereof is required to make the apparatus complicated.
  • the use of the tin coated wire and the use of the tin plate have advantages.
  • a pre-pulse laser is necessary for increasing the CE and the cost becomes higher.
  • the use of the tin coated wire and the use of the tin droplet have advantages.
  • an area where the EUV light is interrupted by the target becomes larger and the EUV light capturing efficiency becomes reduced.
  • the use of the tin-coated wire has an advantage.
  • the wire can be irradiated repeatedly with the driver laser light and a wire supply speed of degree of 10 m/s is sufficiently high.
  • supplying the tin plate in a speed of 10 m/s makes handling of the tin plate difficult and requires a great amount of tin material.
  • a supply speed of the droplet is required to be degree of 100 m/s for making the repetition frequency of the driver laser to be 100 kHz.
  • the use of the tin coated wire and the use of the tin droplet have advantages.
  • the heat dissipation is easy just like a rotating electrode and, when the core material thereof is tungsten or the like, the wire is not cut even at a temperature where tin melts.
  • a cooling plate is necessary to be provided in the back of the tin plate.
  • the debris generation can be suppressed by selection of the conditions as described above also in the case of using the solid tin.
US12/071,250 2007-02-20 2008-02-19 Extreme ultra violet light source apparatus Active 2028-12-31 US7732794B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007-038751 2007-02-20
JP2007038751A JP5149514B2 (ja) 2007-02-20 2007-02-20 極端紫外光源装置

Publications (2)

Publication Number Publication Date
US20080197298A1 US20080197298A1 (en) 2008-08-21
US7732794B2 true US7732794B2 (en) 2010-06-08

Family

ID=39705836

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/071,250 Active 2028-12-31 US7732794B2 (en) 2007-02-20 2008-02-19 Extreme ultra violet light source apparatus

Country Status (2)

Country Link
US (1) US7732794B2 (ja)
JP (1) JP5149514B2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100127191A1 (en) * 2008-11-24 2010-05-27 Cymer, Inc. Systems and methods for drive laser beam delivery in an euv light source
US20130284949A1 (en) * 2008-08-29 2013-10-31 Gigaphoton Inc. Extreme ultraviolet light source device and method for generating extreme ultraviolet light
US9759912B2 (en) 2012-09-26 2017-09-12 Kla-Tencor Corporation Particle and chemical control using tunnel flow
US10591342B2 (en) 2014-11-25 2020-03-17 Ushio Denki Kabushiki Kaisha Liquid level detection device, method of detecting liquid level, high temperature plasma raw material supply device and extreme ultra violet light source device

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5149514B2 (ja) * 2007-02-20 2013-02-20 ギガフォトン株式会社 極端紫外光源装置
JP5429951B2 (ja) * 2007-04-27 2014-02-26 ギガフォトン株式会社 Euv光発生装置におけるターゲット供給装置
JP4893730B2 (ja) * 2008-12-25 2012-03-07 ウシオ電機株式会社 極端紫外光光源装置
US8330131B2 (en) * 2010-01-11 2012-12-11 Media Lario, S.R.L. Source-collector module with GIC mirror and LPP EUV light source
US9265136B2 (en) 2010-02-19 2016-02-16 Gigaphoton Inc. System and method for generating extreme ultraviolet light
US8344339B2 (en) * 2010-08-30 2013-01-01 Media Lario S.R.L. Source-collector module with GIC mirror and tin rod EUV LPP target system
US20120050707A1 (en) * 2010-08-30 2012-03-01 Media Lario S.R.L Source-collector module with GIC mirror and tin wire EUV LPP target system
JP6121414B2 (ja) * 2012-06-22 2017-04-26 ギガフォトン株式会社 極端紫外光生成システム
US9753383B2 (en) * 2012-06-22 2017-09-05 Asml Netherlands B.V. Radiation source and lithographic apparatus
DE102013000407B4 (de) * 2013-01-11 2020-03-26 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Verbesserung der Benetzbarkeit einer rotierenden Elektrode in einer Gasentladungslampe
JP5662515B2 (ja) * 2013-05-13 2015-01-28 ギガフォトン株式会社 極端紫外光源装置及びそのターゲット供給システム
US8963110B2 (en) 2013-06-22 2015-02-24 Kla-Tencor Corporation Continuous generation of extreme ultraviolet light
US9544984B2 (en) * 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
US9918375B2 (en) * 2015-11-16 2018-03-13 Kla-Tencor Corporation Plasma based light source having a target material coated on a cylindrically-symmetric element

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11250842A (ja) 1998-02-27 1999-09-17 Agency Of Ind Science & Technol レーザプラズマ光源及びこれを用いた輻射線発生方法
JP2006244837A (ja) 2005-03-02 2006-09-14 National Institute Of Advanced Industrial & Technology レーザープラズマから輻射光を発生させる方法、該方法を用いたレーザープラズマ輻射光発生装置
US7247870B2 (en) * 2005-02-25 2007-07-24 Cymer, Inc. Systems for protecting internal components of an EUV light source from plasma-generated debris
US7276710B2 (en) * 2004-07-14 2007-10-02 Canon Kabushiki Kaisha Light source unit and exposure apparatus having the same
US20080048133A1 (en) * 2006-08-25 2008-02-28 Cymer, Inc. Source material collection unit for a laser produced plasma EUV light source
US20080197298A1 (en) * 2007-02-20 2008-08-21 Tamotsu Abe Extreme ultra violet light source apparatus
US20080197299A1 (en) * 2007-02-20 2008-08-21 Hideo Hoshino Extreme ultra violet light source apparatus
US20090314967A1 (en) * 2008-06-12 2009-12-24 Masato Moriya Extreme ultra violet light source apparatus

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000215998A (ja) * 1999-01-26 2000-08-04 Nikon Corp X線発生装置及びx線装置
DE10342239B4 (de) * 2003-09-11 2018-06-07 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zum Erzeugen von Extrem-Ultraviolettstrahlung oder weicher Röntgenstrahlung
DE102005023060B4 (de) * 2005-05-19 2011-01-27 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Gasentladungs-Strahlungsquelle, insbesondere für EUV-Strahlung

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11250842A (ja) 1998-02-27 1999-09-17 Agency Of Ind Science & Technol レーザプラズマ光源及びこれを用いた輻射線発生方法
US7276710B2 (en) * 2004-07-14 2007-10-02 Canon Kabushiki Kaisha Light source unit and exposure apparatus having the same
US7247870B2 (en) * 2005-02-25 2007-07-24 Cymer, Inc. Systems for protecting internal components of an EUV light source from plasma-generated debris
US7365351B2 (en) * 2005-02-25 2008-04-29 Cymer, Inc. Systems for protecting internal components of a EUV light source from plasma-generated debris
JP2006244837A (ja) 2005-03-02 2006-09-14 National Institute Of Advanced Industrial & Technology レーザープラズマから輻射光を発生させる方法、該方法を用いたレーザープラズマ輻射光発生装置
US20080048133A1 (en) * 2006-08-25 2008-02-28 Cymer, Inc. Source material collection unit for a laser produced plasma EUV light source
US7476886B2 (en) * 2006-08-25 2009-01-13 Cymer, Inc. Source material collection unit for a laser produced plasma EUV light source
US20080197298A1 (en) * 2007-02-20 2008-08-21 Tamotsu Abe Extreme ultra violet light source apparatus
US20080197299A1 (en) * 2007-02-20 2008-08-21 Hideo Hoshino Extreme ultra violet light source apparatus
US20090314967A1 (en) * 2008-06-12 2009-12-24 Masato Moriya Extreme ultra violet light source apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130284949A1 (en) * 2008-08-29 2013-10-31 Gigaphoton Inc. Extreme ultraviolet light source device and method for generating extreme ultraviolet light
US8710475B2 (en) * 2008-08-29 2014-04-29 Gigaphoton Inc. Extreme ultraviolet light source device and method for generating extreme ultraviolet light
US20100127191A1 (en) * 2008-11-24 2010-05-27 Cymer, Inc. Systems and methods for drive laser beam delivery in an euv light source
US8283643B2 (en) * 2008-11-24 2012-10-09 Cymer, Inc. Systems and methods for drive laser beam delivery in an EUV light source
US9759912B2 (en) 2012-09-26 2017-09-12 Kla-Tencor Corporation Particle and chemical control using tunnel flow
US10591342B2 (en) 2014-11-25 2020-03-17 Ushio Denki Kabushiki Kaisha Liquid level detection device, method of detecting liquid level, high temperature plasma raw material supply device and extreme ultra violet light source device

Also Published As

Publication number Publication date
US20080197298A1 (en) 2008-08-21
JP5149514B2 (ja) 2013-02-20
JP2008204752A (ja) 2008-09-04

Similar Documents

Publication Publication Date Title
US7732794B2 (en) Extreme ultra violet light source apparatus
US7915600B2 (en) Extreme ultra violet light source apparatus
US9686846B2 (en) Extreme UV radiation light source device
JP5865339B2 (ja) 極端紫外光源装置
JP5073146B2 (ja) X線発生方法および装置
EP3213339B1 (en) Continuous-wave laser-sustained plasma illumination source
KR101357231B1 (ko) Lpp 방식의 euv 광원과 그 발생 방법
US7649187B2 (en) Arrangement for the generation of extreme ultraviolet radiation by means of electric discharge at electrodes which can be regenerated
JP4901874B2 (ja) Euvミラー
KR100777414B1 (ko) 방사선 발생 장치, 리소그래피 장치, 디바이스 제조방법 및그에 의해 제조되는 디바이스
US20090127479A1 (en) Extreme ultraviolet light source device and a method for generating extreme ultraviolet radiation
JP2014160670A (ja) Lpp、euv光源駆動レーザシステム
JP2017509000A (ja) 放射源装置およびリソグラフィ装置
JP2007134166A (ja) 極端紫外光光源装置
JP2000098098A (ja) X線発生装置
JP2003008124A (ja) 光源装置及びそれを用いた露光装置
JP2007134679A (ja) 電磁放射線源、リソグラフィ装置、デバイス製造方法、および該製造方法によって製造されたデバイス
US20180084630A1 (en) Device and method for generating UV or X-ray radiation by means of a plasma
EP1492395A2 (en) Laser-produced plasma EUV light source with isolated plasma
Endo et al. CO2 laser-produced Sn plasma as the solution for high-volume manufacturing EUV lithography
JP2009049151A (ja) レーザプラズマ光源
US20230225039A1 (en) Apparatus and method for generating extreme ultraviolet radiation

Legal Events

Date Code Title Description
AS Assignment

Owner name: KOMATSU LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ABE, TAMOTSU,;UENO, YOSHIFUMI;YABU, TAKAYUKI;REEL/FRAME:020578/0795

Effective date: 20080118

Owner name: KOMATSU LTD.,JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ABE, TAMOTSU,;UENO, YOSHIFUMI;YABU, TAKAYUKI;REEL/FRAME:020578/0795

Effective date: 20080118

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GIGAPHOTON INC., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KOMATSU LTD.;REEL/FRAME:028601/0639

Effective date: 20120713

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12