US5904778A - Silicon carbide composite article particularly useful for plasma reactors - Google Patents

Silicon carbide composite article particularly useful for plasma reactors Download PDF

Info

Publication number
US5904778A
US5904778A US08/687,740 US68774096A US5904778A US 5904778 A US5904778 A US 5904778A US 68774096 A US68774096 A US 68774096A US 5904778 A US5904778 A US 5904778A
Authority
US
United States
Prior art keywords
silicon carbide
plasma
plasma reactor
film
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US08/687,740
Other languages
English (en)
Inventor
Hao A Lu
Nianci Han
Gerald Z Yin
Robert W Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US08/687,740 priority Critical patent/US5904778A/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YIN, GERALD Z., HAN, NIANCI, WU, ROBERT W., LU, HAO A.
Priority to EP97305353A priority patent/EP0821397A3/en
Priority to TW086110603A priority patent/TW349236B/zh
Priority to KR1019970036397A priority patent/KR980011810A/ko
Priority to JP9235330A priority patent/JPH10139547A/ja
Application granted granted Critical
Publication of US5904778A publication Critical patent/US5904778A/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/56Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction

Definitions

  • the invention generally relates to silicon carbide structures.
  • the invention relates to silicon carbide composite structures having layers formed by bulk and thin-film methods.
  • Plasma reactors for example as used in fabricating semiconductor integrated circuits, can present extreme demands upon the materials constituting the chamber walls and other components within the reactor that are exposed to the plasma.
  • a particularly difficult environment is presented by an oxide etcher used in etching through layers of silicon dioxide in semiconductor integrated circuits.
  • Such a reactor is shown in schematic cross section in FIG. 1 and closely follows the Centura HDP Oxide Etcher, available from Applied Materials, Inc. of Santa Clara, Calif.
  • the reactor 10 includes a vacuum chamber 12 into which is loaded a silicon wafer 14 that is supported on a pedestal 15.
  • the wafer 14 is held by a plasma focus ring 16 and a clamping ring 18, and an annular plasma guard 20 surrounds the pedestal 15 to protect it from the plasma.
  • an electrostatic chuck is used in the pedestal 15, it may be surrounded by an unillustrated free standing collar which controls the plasma conditions in the neighborhood of the wafer 14. This description is intended to be illustrative only and not defining the preferred configuration.
  • the vacuum chamber 12 includes a cylindrical dielectric wall 22, outside of which is wrapped an inductive coil 24 for coupling RF energy into the chamber 12 so as to create therein a high-density plasma of processing gases admitted into the chamber 12 by unillustrated gas ports.
  • a roof 26 defines the upper boundary of the chamber 12. The roof 26 is often grounded or even biased by an RF electrical source and thus preferably functions as a counter electrode. Alternatively or additionally, the roof 26 is preferably temperature controlled by a heater/cooler 30, which also serves as the vacuum-sealing roof, and may be electrically connected thereto so as to be commonly biased or grounded.
  • a fluorocarbon plasma for instance of CF 4 or C 3 F 8 among other examples, is used to etch through an oxide layer, typically silicon oxide overlying silicon or polysilicon.
  • oxide layer typically silicon oxide overlying silicon or polysilicon.
  • the etching process strongly preferentially etch the oxide over the silicon. In the normal parlance, the etch should be selective to silicon.
  • the plasma is largely supported by the inductive coil 24, which couples a large amount of RF energy into the chamber 12 and thus generates a high-density plasma (HDP), which allows a very high etching rate of the oxide layer on the wafer 14.
  • HDP high-density plasma
  • the temperature of the chamber silicon including the silicon roof 26 was important in controlling the process and that proper temperature control means for heating as well as cooling the chamber silicon should be incorporated into an oxide etcher, for example, the heater/cooler 30. It was also recognized that control of electrical biasing of the roof 26 could likewise be used to control the process.
  • quartz is both an electrical and thermal insulator. Its coefficient of thermal conductivity is less than 1 W/m ⁇ K, a relatively low value, so that it is difficult to closely control the temperature of the entire surface of a quartz piece exposed to the plasma. Furthermore, quartz has a chemical composition closely resembling that of the silicon oxide layer being etched in the semiconductor oxide etch reactor. Thus, one must assure that the quartz part is being operated in a deposition or slow etch mode rather than a strong etch mode while the wafer of similar composition is being strongly etched. If not, the quartz parts will have short lifetimes and hence impose a high cost of replacing consumable parts, both in terms of parts cost and machine down time.
  • the quartz although it is a silicate material providing some silicon scavenging, also produces a relatively uncontrollable amount of CO and CO 2 from the reaction between, for example, CF 4 and SiO 2 .
  • the resultant carbon monoxide and dioxide are particular problems for selectivity to photoresist.
  • quartz is a ceramically formed material and typically includes large amounts of non-silicate components, which become contaminants in the fluorocarbon etching environment. Even further, the etching of the quartz can undercut surface portions to the extent that particles of quartz are separated from the reactor elements and fall onto the wafer as fatal particles. Oxide etching is particularly critical against particles since the etching produces interfaces between two electrically conducting parts, one of which may be semiconducting and any particle falling on the interface before deposition of the subsequent layer can seriously affect the electrical characteristics of the junction across the interface.
  • Collins et al. have suggested in European Patent Application 601,468-A1 and in U.S. patent application, Ser. No. 08/597,577, filed Feb. 2, 1996 that an inductive coil be placed in back of the silicon roof 26. Further, in the latter, Collins et al. have suggested that other parts of the chamber, including the side walls 22 in front of the RF coils 24 be formed of silicon, either in its crystalline or polysilicon structure.
  • the silicon composition provides some scavenging functions and also avoids contamination by quartz or other ceramics. However, silicon is a semiconductor, not a dielectric.
  • the silicon of the proper doping and thickness can advantageously also be electrically biased, either DC or RF, even while, in a preferred usage, electromagnetic radiation is being propagated therethrough.
  • silicon in such uses presents many compromises and disadvantages.
  • silicon in such large dimensions is not readily available at reasonable prices, particularly in times of shortage of polysilicon.
  • silicon, although affording relatively high structural strength, is prone to fracture from local micro-defects arising from its growth in the form of polysilicon and its subsequent machining.
  • semiconducting silicon bandgap of about 1.2 eV affords an uneasy compromise between structural strength and electromagnetic transparency.
  • Electromagnetic radiation can only penetrate a semiconductor or other conductor to the extent of a skin depth which can be expressed as ##EQU1## where f is the frequency of the electromagnetic radiation in hertz, ⁇ 0 is the magnetic permeability in H/m, and ⁇ is the bulk DC plasma resistivity of the semiconductor in ohm-m.
  • the penetration of electromagnetic radiation through a conductive sheet is generally an exponential function of the sheet thickness z having the general form to first order of where surface effects are disregarded.
  • the functional dependence (2) shows that for a thickness of no more than the skin depth, the resistive absorption is less than 64%; for a thickness of no more than one-third the skin depth, the absorption is less than 29%; and for a thickness of no more than one-tenth the skin depth, the absorption is less than 10%.
  • the skin depth for available semiconductor materials at reasonable operating temperatures may be considerably less than a realistic structural thickness, even for vacuum wall members.
  • a typical inductively coupled plasma reactor uses an RF source with a frequency of 2 MHz. For this frequency and for a non-magnetic material, the estimated skin depths for representative material resistivities are given in TABLE 1
  • plasma reactor chamber parts can be formed of silicon carbide, both for its high-temperature performance and for its fluorine-scavenging characteristics.
  • bulk silicon carbide at least in its sintered or hot-pressed forms, is inadequate at least in a fluorine etching environment if few particles and long part life are to be attained and if high chemical purity is required in the wafer processing chamber.
  • Silicon carbide is sintered by mixing a silicon carbide powder with a generally pliable sintering aid.
  • the sintering aid has a complex and ill-defined composition producing effective impurity levels on the order of hundreds of parts per million, which greatly exceed the parts-per-billion scale required for wafer processing chambers.
  • the sintering aid even after it has been hardened in the sintering process, produces a highly granular structure that exhibits a strongly differential pattern of etching, thus becoming a mechanism for producing particulates.
  • the impurity levels can be controlled, if required, down to less than 100 ppb (parts per billion). Further, our experiments show that CVD SiC demonstrate uniform etch profile when being etched by a fluorocarbon etchant.
  • Silicon carbide is well known as a susceptor material for RF induction heating of a chamber or wafer support within the chamber. Its thermal conductivity is in the range of 100 to 200 W/m ⁇ K, vastly superior to quartz.
  • An RF coil wrapped around the chamber induces eddy currents in a highly conductive silicon carbide part to thereby heat it to high temperatures, such as are required for thermal CVD, as disclosed by Ban in U.S. Pat. No. 4,401,689.
  • Induction heating is to be contrasted with inductive coupling of RF power into a plasma reactor chamber. Plasma reaction chambers are usually operated at much lower temperatures, and the RF energy should be coupled into the plasma and not into chamber parts.
  • any silicon carbide parts used in a reaction chamber at least in the vicinity of the coils, should have relatively high electrical resistivity, for example, above 10 4 ohm-cm.
  • Silicon carbide composites are well known in which a bulk piece of silicon carbide is coated with a thin film of silicon carbide using a chemical vapor deposition (CVD) or similar process.
  • Such composites include resistive heaters, as disclosed by Ito et al. in U.S. Pat. No. 4,810,526, and rugged mirrors, as disclosed by Hotate et al. in U.S. Pat. No. 5,448,418.
  • Matsumoto et al. in U.S. Pat. No. 4,999,288 discloses using a silicon carbide composite as a diffusion tube for heat treating semiconductor wafers at about 1200° C.
  • a 500 ⁇ m-thick silicon carbide film is CVD deposited on the interior of a reaction sintered silicon carbide tube, and the film has a low concentration of iron impurities, although this level is defined as 5 parts per million (ppm). If desired, an interfacial region in the silicon carbide tube can be depleted of silicon. Electrical resistivity is immaterial in most diffusion tubes.
  • the invention can be summarized as a composite silicon carbide structure and its method of making.
  • the composite structure is particularly useful in plasma reactors used in fabricating semiconducting integrated circuits in which a gross structure of sintered or hot-pressed silicon carbide is formed into the desired shape and a thin layer of silicon carbide is deposited over the gross structure by chemical vapor deposition (CVD) or other film deposition process.
  • the sintered silicon carbide can be easily cast or pressed into complex shapes, while the CVD silicon carbide provides a surface that is resistant to etching and to formation of particulates that can spoil the semiconductor processing.
  • the sintered silicon carbide is advantageously formed to have an electrical resistivity substantially higher than that of the film silicon carbide so as to allow electromagnetic radiation to penetrate the thick gross structure, while the SiC film can be electrically biased or provide a grounding surface for the bias current.
  • members placed inside a plasma chamber to either guide the plasma or to protect other components from the plasma.
  • These members may be silicon carbide composites of either high or low resistivity.
  • a surface film of the composite member that is formed by CVD has a very low impurity level, of 100 parts per billion or less, so that the members do not contaminate the plasma or nearby wafer.
  • FIG. 1 is side cross-sectional view of one type of plasma etching reactor in which the invention can be used.
  • FIG. 2 is a cross section of a product of the invention.
  • FIG. 3 is a micrograph of a product of the invention.
  • FIG. 4 is a graph of the temperature dependence of resistivity in low-resistivity sintered SiC.
  • FIG. 5 is a graph of the temperature dependence of resistivity of both CVD SiC and high-resistivity SiC.
  • FIG. 6 is cross-sectional view of a collar or focus ring incorporating the silicon carbide composite of the invention for use in a plasma reaction chamber.
  • FIG. 7 s a graph of coupling efficiencies through a partially conductive window.
  • FIG. 8 is a partially sectioned perspective view of another use of the invention in a plasma reaction chamber.
  • FIG. 9 is a schematical cross section of a plasma reactor using a conical composite silicon carbide dome.
  • FIG. 10 is a cross section of a one-piece crown dome.
  • FIG. 11 is a graph showing the resistivity of a silicon carbide surface film formed on bulk silicon carbide.
  • FIG. 12 is a graph of plasma characterization of a composite dome of the invention in comparison to two other domes.
  • plasma chamber components can be advantageously formed of a bulk, possibly shaped, member 40, shown in FIG. 2, composed of sintered or hot-pressed silicon carbide.
  • Chemical vapor deposition (CVD) or other film deposition process is then used to coat the surface of the sintered member 40 with a relatively thin layer 42 of silicon carbide.
  • FIG. 1 An example of a chamber component that can be advantageously formed by the invention is the cylindrical wall 22, shown in FIG. 1.
  • a complex shape is hereinafter defined as being more complex than a truncated cylinder, tube, or plate and the definition includes a radially varying, generally axially symmetric shape.
  • the overall dimensions of the chamber are relatively large, being about 15 inches (400 mm) for a chamber processing wafers of 200 mm diameter. The size problem will be exacerbated for systems handling 300 mm wafers.
  • the technology of forming large, strong, shaped bodies of silicon carbide is well developed.
  • Sintering involves the use of mixing a powder of the desired material with a sintering agent or aid, forming the mixture into a body having the desired shape, and thermally treating the body at relatively high temperatures.
  • Sintered silicon carbide can be machined into a final shape.
  • Hot pressing is similar to sintering but additionally includes subjecting the body to high pressures, typically uniaxial pressure, during the thermal treatment.
  • Casting is similar to hot pressing and presses the SiC into the desirable shape.
  • sintering will be defined to include hot pressing and casting as special cases.
  • Sintered silicon carbide is advantageous over silicon because of its strength, high thermal conductivity, and resistance to chipping.
  • Commercial sources of hot-pressed or sintered silicon carbide are Cercom, Inc.
  • the chemical vapor deposition of silicon carbide is relatively well known, particularly in fields outside of semiconductor fabrication equipment. See, for example, U.S. Pat. No. 4,810,526 to Ito et al. and U.S. Pat. No. 5,448,418 to Hotate et al.
  • Chemical vapor deposition involves the growth upon a substrate of a film from a gaseous precursor through a reaction which is activated, by example, thermally or by a plasma.
  • Protective SiC carbide coatings are well known having thicknesses of 100 ⁇ m or less, but the CVD films of 1 mm or more envisioned in many of the embodiments of the inventions are believed to be novel. At the present time, several manufacturers supply free-standing CVD silicon carbide for which a relatively thick layer of at 1 to 10 mm is CVD deposited and then the underlying substrate of graphite is etched away.
  • silicon carbide The metallurgy of silicon carbide is well explored. Its composition need not be stoichiometric and may comprise between 40 and 60 atomic % of both silicon and carbon with lesser amounts of other components. However, it is believed that stoichiometric silicon carbide exhibits the highest resistivity.
  • a silicon carbide composite has the further advantage over other coated materials in that the coefficients of thermal expansion for the sintered and film parts are substantially the same in contrast to, for example, SiC-coated aluminum nitride. As a result, the SiC composite can better withstand thermal stress during fabrication and use.
  • the CVD SiC film should have a very low impurity concentration, less than 100 ppb (parts per billion, usually expressed as atomic percentage) of metals, especially heavy metals, as measured by inductively coupled mass spectrometry, glow discharge mass spectrometry, or other similar techniques.
  • impurity concentration less than 100 ppb (parts per billion, usually expressed as atomic percentage) of metals, especially heavy metals, as measured by inductively coupled mass spectrometry, glow discharge mass spectrometry, or other similar techniques.
  • Such pure CVD films are commercially available upon specification by the customer, but no sintered silicon carbide is known that comes close to these reduced impurity levels, the best known hot-pressed silicon carbide having an impurity level of about 100 parts per million.
  • a number of test coupons of various materials were prepared and placed at two positions in the reactor of FIG. 1. The first position 30 was at the center of a silicon wafer 14 supported on the pedestal 15. The second position 32 was on top of a base plate 34 of the chamber which is located outside and below the area of the wafer.
  • the reactor was operated as an HDP oxide etcher with an etching gas of C 4 F 8 and an argon carrier gas.
  • a silicon carbide composite was fabricated in which a bulk body of sintered silicon carbide was formed having a sharp corner. The bulk body was then covered with a thick layer of up to 6 mm of silicon carbide deposited by CVD. The specimen was sectioned and inspected in both a scanning electron microscope (SEM) and in an optical microscope. The SEM micrograph is shown in FIG. 3. Several observations can be made.
  • the sintered silicon carbide forms a matrix of generally round particles having sizes of approximately 10 ⁇ m and less. Silicon carbide can be formed to significant thicknesses by CVD.
  • the CVD silicon carbide forms a dense structure having a crystalline orientation extending generally perpendicularly to the underlying sintered silicon carbide substrate.
  • the CVD silicon carbide easily coats a corner having a radius of less than 20 ⁇ m, and the CVD silicon carbide exhibits the above crystalline orientation on both the horizontally and vertically extending faces around the corner. This latter results demonstrates that a layer of CVD SiC can be applied to complex shapes, such as clamping rings, collars, focus rings, etc.
  • a surface film of CVD SiC produces fewer particles in a plasma etch reactor than sintered SiC and many fewer than quartz. As shown by the etching data of TABLE 2, CVD SiC etches less than the other materials. More importantly, as is clear from the micrograph, CVD SiC does not have the granular structure of sintered SiC. When sintered SiC is etched, it is likely that the grain boundaries etch more quickly than the grains themselves. In some cases, the etch dynamics cause the grains to be etched free of the matrix and thus to be liberated as fairly large particles within the reactor, which are prone to fall upon the wafer being etched. Modem integrated circuits can fail if a single particle of size larger than approximately 0.2 ⁇ m falls upon it during fabrication.
  • CVD SiC the crystalline nature of CVD SiC causes it to be more uniformly etched with the etched particles being of atomic or molecular sizes.
  • Surface profiles were measured on many of the etched samples of TABLE 2.
  • the CVD SiC showed much smoother surface after etching than sintered SiC, quartz, and even silicon. A smoother etch indicates less propensity for particles being liberated.
  • Silicon carbide formed by CVD can be made much purer than sintered SiC, a particular advantage in equipment for fabricating semiconductor circuits.
  • Coated silicon carbide can be commercially obtained with its metal impurity concentration being less than 50 to 100 parts per billion. Its silicon content is completely compatible with silicon technology, and in general its carbon content does not present major problems.
  • the silicon carbide composite of the invention offers several advantages for high-temperature operation. It offers very good resistance to thermal shock at temperatures at least as great as 300 or 500° C. It excels even with respect to homogeneous silicon.
  • silicon is available now as polysilicon, which has a tendency to chip and flake under wide temperature cycling.
  • Silicon is a semiconductive material with a moderately narrow bandgap. Extremely pure silicon is required to achieve the desired high resistivities of greater than 10 ⁇ -cm and preferably up to 500 ⁇ -cm. Any variation of the impurity or doping levels or of the temperature of operation will cause significant variations in the high silicon resistivity.
  • silicon carbide is a wide-bandgap semiconductor, high resistivities are easily achieved at moderate impurity levels, and further the temperature dependence of the resultant electrical conductivity is not nearly as steep as in silicon.
  • the high-resistivity sintered silicon carbide we have tested has maintained a resistivity of greater than 10 4 ⁇ -cm and up to 10 5 ⁇ -cm at 300° C.
  • the thickness of the CVD silicon carbide film is so thin that the thermal dependence of its electrical characteristics should not present a problem.
  • the silicon carbide composite of the invention is particularly advantageous over other composite structures involving multiple materials. Because its two parts are composed of substantially the same composition, the coefficients of thermal expansion of the two parts tend to be fairly equal so that thermal spalling or fracturing of a SiC composite is less of a problem.
  • Silicon carbide parts also have the advantage of being a source of free silicon.
  • selectivity over a silicon or nitride substrate can be enhanced if the counter electrode 26 is formed of silicon, which scavenges free fluorine from the plasma.
  • the counter electrode 26 can be formed as a silicon carbide composite of the invention and will still act as a silicon source for scavenging.
  • Silicon carbide is further advantageous in that its electrical properties can be controlled since it is a wide-bandgap semiconductor.
  • an RF inductive coil can be placed in back of the silicon roof functioning as a counter electrode in order to provide a more uniform magnetic field across the radius of the plasma.
  • the counter electrode must be conductive to act as an electrode, but the RF magnetic field would generate excessive eddy currents in a highly conductive counter electrode.
  • a solution is to control the conductivity of the electrode such that the RF skin depth is less than the thickness of the member. Silicon carbide can be so controlled.
  • the temperature dependence is shown in trace 44 in the graph of FIG. 4 for the DC resistivity of low-resistivity CVD silicon carbide from one commercial source. Advanced oxide etchers are being designed to operate at temperatures well below 200° C., preferably around 150° C., a good range for resistivity as indicated by the dashed lines.
  • trace 45 for a high-resistivity sintered silicon carbide
  • traces 46, 48 respectively for high-resistivity and low-resistivity CVD SiC.
  • Traces 46, 48 show that for the preferred operating temperature of 150° C. resistivities of 10 5 to 10 6 ⁇ -cm are readily available in both sintered and CVD SiC.
  • Trace 48 shows that moderately conductive CVD SiC is also available.
  • low-resistivity sintered SiC is not shown, it is appreciated that its resistivity can be lowered by appropriate doping or other measures, as is well known by the commercial suppliers of such materials.
  • resistivity is known to depend on the electrical dopant, such as boron, and its doping and impurity concentrations and upon the morphology and crystalline orientation, including grain size and boundary effects.
  • Silicon carbide can form in two distinctly different crystalline phases, the ⁇ and ⁇ phases, and the semiconductor bandgaps of these two phases are different.
  • Hot-pressed silicon carbide usually forms in the hexagonal a-phase while CVD silicon carbide tends to form in the cubic ⁇ -phase.
  • Doping impurities ultimately determine the electrical resistivities of both forms.
  • silicon carbide can be obtained with low resistivities below 100 ⁇ -cm and with high resistivities above 10 5 and even 10 6 ⁇ cm. These ranges should be compared to silicon for which obtaining consistent and controllable resistivities above 30 ⁇ cm is difficult.
  • silicon can be doped to be highly conductive. These differences arise because silicon carbide can be characterized as a wide bandgap material, the bandgap being much larger than that of silicon.
  • a further advantage of the composite SiC structure is that the electrical characteristics of the structure can be controlled and further the electrical characteristics of the two parts can be separately controlled. All the possible combinations have their own utility. The combinations are summarized in TABLE 4 and described below.
  • Low resistivity in both the film and the bulk is desirable when a composite SiC electrode is capacitively coupling high-frequency electrical energy into a plasma without additional electrical constraints.
  • the resistivity of the composite is held as low as possible to promote low-loss RF coupling.
  • Other applications include gas distribution nozzles, plasma focus rings, and DC pickups positioned within the chamber or on the pedestal to monitor the plasma state.
  • High resistivity in both the film and the bulk is desirable when the microwave or RF energy is being transmitted into a reactor chamber through a composite SiC window.
  • FIG. 6 Another application for a high-resistivity composite is shown in the cross-sectional view of FIG. 6 in which a wafer 50 is supported on a pedestal 52 having generally cylindrical symmetry about an axis and including a delicate electrostatic chuck on its surface. It is important to isolate the pedestal 52 from the plasma treating the wafer 50. Accordingly, a peripheral ledge 54 is formed around the top of the pedestal 52 into which is fit an insulating composite SiC collar 56 It has the form of an annulus extending about the pedestal axis, and it includes a CVD surface film 58 and a sintered bulk portion 60. Both portions 58, 60 are composed of silicon carbide and are formed to have high resistivity so as not to perturb the plasma, but the surface film 58 facing the plasma has a very low impurity concentration to contaminate the plasma processing.
  • High resistivity in the bulk and low resistivity in the film are desirable in the case of the previously described planar RF coil in back of a composite SiC counter electrode or wall inside the helical RF coil.
  • the data in TABLE 1 shows that structurally thick SiC members can still pass 2 MHz electromagnetic radiation if their resistivity is about 10 ⁇ -cm and above.
  • the table also shows that films of 3 mm and less can pass the 2 MHz electromagnetic radiation while still having a sheet resistance of about 1 ohm per square or less, adequate for a grounding plane or lower-frequency electrode inside an RF coil. That is, the sintered bulk SiC is made highly resistive so as to freely pass the RF magnetic field with no eddy currents while the CVD SiC thin film is made relatively highly conductive to serve as an electrode as well as be made thinner than an RF skin depth.
  • High resistivity in the film and low resistivity in the bulk are desirable in the case where the thin-film portion of a composite SiC wall adjacent to a plasma needs to be electrically floating but for safety reasons the bulk wall facing outwardly needs to be grounded.
  • RF skin depth and sheet resistance must be balanced in a member to be used as a grounded (or biased) RF window.
  • Computer simulation is required for complex geometries interacting with a plasma. The results of one such simulation for a semiconducting window of 3/4-inch (2 mm) thickness are given in the graph of FIG. 7 for a particular set of plasma conditions. These results can be scaled for other thicknesses and resistivities.
  • the trace 60 shows the power coupling efficiency of a circular window grounded around its edges when RF power is applied to the opposed highly conductive electrode. Not unexpectedly, the efficiency falls linearly with the resistivity.
  • Trace 72 shows the power coupling efficiency of RF energy through the semiconductor window, the RF energy being generated by an inductive coil being driven by an RF source at 2 MHz.
  • the efficiency is highest at high resistivities and falls, probably exponentially, at lower resistivities.
  • FIG. 8 A specific example is illustrated in partially sectioned perspective view of FIG. 8 showing a truncated conical dome 70 having an RF inductive coil 72 wrapped around its outside.
  • a structure can form a plasma reactor chamber having several advantages over the right cylindrical chamber of FIG. 1.
  • the conical dome 70 itself does not form part of the present invention, but was invented by another entity. However, the invention can be advantageously applied to it.
  • the conical dome 70 is formed of a high-resistivity sintered bulk part 74 and a low-resistivity film part 76 that covers the interior of the conical dome 70, is wrapped around its bottom, and extends to a tab 78 on the outside to be electrically contacted to ground or to other electrical biasing source.
  • the bulk part 74 has such a high resistivity as to not significantly perturb the induction field from the coil 72.
  • the film part 76 may have a resistivity of 1-10 ohm-cm at 150° C. and a thickness of 5 mm so as to substantially pass the induction field while still providing sufficient electrical conductivity as to allow the film part 76 to present a grounding plane to the plasma within the conical dome 70.
  • the intended operation of the part of FIG. 8 is presented in the cross sectional view of FIG. 9.
  • the part forms a truncated, conically shaped upper wall of a vacuum chamber, not all parts of the vacuum chamber being shown.
  • the part is mated and sealed to a roof 80 over the chamber, preferably also of silicon carbide, either an integral or composite member, but possibly formed of polysilicon.
  • the roof 80 may be grounded or RF biased, and in a further improvement one or more unillustrated RF inductive coils may be placed in back of the roof 80.
  • the wafer being processed is supported on a pedestal electrode 82 which is biased by an RF power supply 84.
  • the RF power from both the coil 72 outside the conical dome and from the pedestal electrode 82 creates a plasma 86 in the chamber.
  • the grounding of the conductive CVD film 76 on the conical dome presents a laterally extending grounding path for the ion current from the capacitively coupled pedestal electrode 82.
  • the conductive CVD film 76 provides another electrode in the chamber, so that, with proper insulation between the CVD film and the lower wall of the vacuum chamber, a predetermined DC bias or an AC or RF bias can be applied to the conductive CVD film 76.
  • the composite SiC wall material of the invention is highly desirable for use as a roof, for example, as the scavenging roof 26 in FIG. 1, and for chamber wall material in plasma reactors of any configuration.
  • Another preferred application, for example, would be in a reactor using a right circular chamber of the type generally exemplified by FIG. 1.
  • the wall 22 can be fabricated as a composite SiC wall and serve as a very good window for the induction field, while at the same time that SiC wall is grounded or biased.
  • the SiC composite material would be highly advantageous and a preferred material for a roof 26 over which was supported an induction antenna, especially if the roof also functions as an electrode, since this material can be optimized for both inductive window and electrical functions.
  • a new range of combinations of inductive coupling and capacitive coupling, biasing, fluorine scavenging, and process control is accordingly now possible.
  • a particular advantage of the sintered SiC bulk part of the composite structure is that methods are well known to cast and machine sintered silicon carbide into large, complex structures.
  • the top portion of the slanted two-piece chamber structure of FIG. 9 can be achieved in a fairly similar crown chamber illustrated in cross section in FIG. 10. It includes a sintered SiC support 90 of high electrical resistivity formed with a cylindrical wall portion 92 smoothly joined to a generally horizontal roof portion 94.
  • the sintered SiC support 90 includes two deep annular grooves 96, 98 on its outer side into which are fit a number of electrically powered radiant heater lamps 100 which radiate the bottom and sides of the grooves 96, 98.
  • the SiC support 90 has good emissive and thermally conductive properties so that the lamps 100 fit into the support 90 can effectively heat the surface of the dome exposed to the plasma.
  • the SiC support 90 is additionally formed with a central hole 102 and another annular groove 104 to produce a mechanical structure that is generally uniform in the horizontal direction. Despite all these grooves 96, 98, 102, 104, the SiC support 90 is still formed with a planar backside 106 to which can be fit cooling plates, which can be intimately pressed against the SiC support 90 for effective thermal sinking despite any differential thermal expansion between the parts. If the radiant lamps 100 were not included, the backside 106 could be substantially planar and much thinner for even better thermal contact.
  • a CVD SiC film 1 10 is conformally coated on the interior of the crown chamber with a tab 112 extending to the exterior of the vacuum chamber for uniform electrical biasing of the inside of the chamber.
  • the sintered bulk part 74 had a thickness of between 3/8" and 3/4" (10 to 20 mm) and had an electrical resistivity of 10 9 ⁇ -cm at room temperature.
  • the CVD film 74 had a thickness of 2.5 mm.
  • a four-probe measurement determined the electrical resistivity on a free-standing coupon from the same run as the coating on the sintered part. The temperature dependence of the measured resistivity is shown in the graph of FIG. 11.
  • the sintered bulk part as a result introduces substantially no loss for RF energy being coupled through it.
  • the film part has a resistivity which, according to TABLE 1, produces a skin depth substantially greater than the film thickness. Hence, the film part is substantially transparent to electromagnetic radiation, but the film can still ground current from the electrode.
  • the conical dome was electrically and process tested together with a polysilicon roof 80.
  • the conical dome was the silicon carbide composite described above.
  • the conical dome was either polysilicon (having a resistivity of greater than 20 ohm-cm) or insulating silicon carbide. Both the roof and the conical dome were grounded, although grounding the insulating SiC dome was not effective.
  • the pedestal and coil were powered by respective RF sources.
  • the ion current within the chamber was measured as a function of source power, that is, the RF power applied to the coil.
  • source power that is, the RF power applied to the coil.
  • trace 120 in FIG. 12 an insulating silicon carbide conical dome produced the most ion current; as shown by trace 122, a grounded silicon conical dome produced an intermediate ion current; and, as shown by trace 124 the grounded composite silicon carbide conical dome produced the lowest ion current.
  • the silicon carbide composite incurred a power penalty of 24% over insulating silicon carbide and of 15% over silicon. Encouragingly, all three ion currents behaved normally, increasing with source power and having roughly similar radial uniformities.
  • the current carried to ground by the grounded conical dome was measured as a function of bias power.
  • the silicon carbide composite dome produced substantially more ground current than did the silicon dome.
  • the silicon carbide composite with a conductive CVD film acts as a better electrode than does the polysilicon.
  • the three configurations were then used to etch narrow apertures in an oxide layer using a fluorocarbon plasma etch.
  • the processing information is still preliminary, but the results with the composite silicon carbide dome were comparable to and often better than with either the silicon dome or the insulating silicon carbide dome.
  • the conclusion is that the composite, grounded SiC dome presents a feasible etch process that can be satisfactorily optimized.
  • the invention is not so limited. Other methods may be used to deposit the film.
  • liquid-phase deposition methods can be used, especially advantageously for the thicker films contemplated for many uses of the invention.
  • Liquid-phase epitaxy has been well developed for the deposition of semiconductor films. Sol-gel processes are also well known for depositing films.
  • plasmas may be generated by means other than the illustrated inductive coils, which provide one popular method of generating high-density plasmas.
  • the composite SiC material is equally advantageous in capacitively coupled reactors, particularly in view of the ease of providing relatively highly conductive layers to serve electrical functions, to provide biasing functions, and in oxide etch applications to provide fluorine scavenging.
  • the CVD layer may be the powered capacitive conductive electrode, may be insulating, or may be conductive but floating so as to form a serially connected capacitive plate between the two powered plates.
  • Plasmas may be generated by both DC and RF biased capacitive electrodes, and alternatively by electron cyclotron resonance, by remote plasma sources, by microwaves, or by yet other means.
  • the plasma is maintained within at least a portion of the plasma reaction chamber, and the composite SiC part of the invention can advantageously be used therein.
  • the improvement confined to oxide etch or other etch applications, but rather may also be used in other applications such as CVD and PVD (physical vapor deposition).
  • microwave tubes have typically been made of quartz or other ceramic.
  • the silicon carbide composite of the invention would provide superior performance in such an application.
  • the invention thus provides parts particularly useful in plasma reactors that are relatively inexpensive, easily shaped, present minimal contamination problems, can be used as silicon scavengers in fluorine etch processes, have electrical characteristics that can be advantageously controlled, and yet will enjoy a longer life and are economical consumable components.
  • a particular advantage is the control of relative resistivity values as between the thin film portion and the bulk portion of the composite, and the large range of values possible for each allows a great improvement in the electrical flexibility, particularly in the capability of combining inductive coupling with biasing and capacitive coupling, for control of ion density and energy across large wafer diameters, and superior process control.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Structural Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Ceramic Products (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
US08/687,740 1996-07-26 1996-07-26 Silicon carbide composite article particularly useful for plasma reactors Expired - Fee Related US5904778A (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US08/687,740 US5904778A (en) 1996-07-26 1996-07-26 Silicon carbide composite article particularly useful for plasma reactors
EP97305353A EP0821397A3 (en) 1996-07-26 1997-07-17 Silicon carbide composite article particularly useful for plasma reactors
TW086110603A TW349236B (en) 1996-07-26 1997-07-25 Silicon carbide composite article particularly useful for plasma reactors
KR1019970036397A KR980011810A (ko) 1996-07-26 1997-07-26 플라즈마 반응기에 유용한 탄화규소 화합물
JP9235330A JPH10139547A (ja) 1996-07-26 1997-07-28 プラズマリアクタに特に有用な炭化珪素複合品

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/687,740 US5904778A (en) 1996-07-26 1996-07-26 Silicon carbide composite article particularly useful for plasma reactors

Publications (1)

Publication Number Publication Date
US5904778A true US5904778A (en) 1999-05-18

Family

ID=24761659

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/687,740 Expired - Fee Related US5904778A (en) 1996-07-26 1996-07-26 Silicon carbide composite article particularly useful for plasma reactors

Country Status (5)

Country Link
US (1) US5904778A (zh)
EP (1) EP0821397A3 (zh)
JP (1) JPH10139547A (zh)
KR (1) KR980011810A (zh)
TW (1) TW349236B (zh)

Cited By (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6010967A (en) * 1998-05-22 2000-01-04 Micron Technology, Inc. Plasma etching methods
US6135054A (en) * 1997-09-10 2000-10-24 Nippon Pillar Packing Co. Ltd. Semiconductor wafer holder with CVD silicon carbide film coating
US6180019B1 (en) * 1996-11-27 2001-01-30 Hitachi, Ltd. Plasma processing apparatus and method
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6286452B1 (en) * 1998-12-02 2001-09-11 Matsushita Electric Industrial Co., Ltd. Sputtering apparatus
US6291358B1 (en) 1999-10-15 2001-09-18 Micron Technology, Inc. Plasma deposition tool operating method
US6368452B1 (en) 2000-03-31 2002-04-09 Lam Research Corporation Plasma treatment apparatus and method of semiconductor processing
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6436361B1 (en) * 1999-07-30 2002-08-20 Asahi Glass Company, Limited Silicon carbide and process for its production
US6444087B2 (en) 1999-01-20 2002-09-03 Hitachi, Ltd. Plasma etching system
US6447842B1 (en) * 1997-10-27 2002-09-10 Ngk Insulators, Ltd. Process for producing a corrosion-resistant member
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US20020160208A1 (en) * 2001-03-12 2002-10-31 Masataka Ito SOI substrate, annealing method therefor, semiconductor device having the SOI substrate, and method of manufacturing the same
WO2002103759A1 (en) * 2001-06-19 2002-12-27 Saint-Gobain Ceramics & Plastics, Inc. Apparatus and method of making a slip free wafer boat
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6514378B1 (en) * 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6562183B1 (en) * 1999-04-07 2003-05-13 Ngk Insulators, Ltd. Anti-corrosive parts for etching apparatus
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US6583544B1 (en) * 2000-08-07 2003-06-24 Axcelis Technologies, Inc. Ion source having replaceable and sputterable solid source material
US6605352B1 (en) 2000-01-06 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Corrosion and erosion resistant thin film diamond coating and applications therefor
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US20030178735A1 (en) * 1998-05-05 2003-09-25 Shipley Company, L.L.C. Method and apparatus for producing free-standing silicon carbide articles
US20030198749A1 (en) * 2002-04-17 2003-10-23 Applied Materials, Inc. Coated silicon carbide cermet used in a plasma reactor
US20030205327A1 (en) * 2002-05-06 2003-11-06 Howald Arthur M. Apparatus and methods for minimizing arcing in a plasma processing chamber
US6645304B2 (en) * 2000-10-23 2003-11-11 Ngk Insulators, Ltd. Susceptors for semiconductor-producing apparatuses
US20030215963A1 (en) * 2002-05-17 2003-11-20 Amrhein Fred Plasma etch resistant coating and process
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6723202B2 (en) * 2000-04-25 2004-04-20 Tokyo Electron Limited Worktable device and plasma processing apparatus for semiconductor process
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US20040134618A1 (en) * 2003-01-07 2004-07-15 Tokyo Electron Limited Plasma processing apparatus and focus ring
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US20040216667A1 (en) * 2002-11-28 2004-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US20050067098A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method and system for introduction of an active material to a chemical process
US20050085098A1 (en) * 2003-10-20 2005-04-21 Timmermans Eric A. Method for the deposition of silicon nitride films
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6955720B2 (en) * 1999-03-23 2005-10-18 Asml Holding N.V. Plasma deposition of spin chucks to reduce contamination of Silicon wafers
US20060118045A1 (en) * 2004-12-08 2006-06-08 Fink Steven T Method and apparatus for improved baffle plate
US20060151114A1 (en) * 2005-01-11 2006-07-13 Fink Steven T Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20060240287A1 (en) * 2003-06-27 2006-10-26 Sho Kumagai Dummy wafer and method for manufacturing thereof
US20060283552A1 (en) * 2005-06-20 2006-12-21 Rogers James H Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070000614A1 (en) * 2003-03-21 2007-01-04 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
US7166165B2 (en) * 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
US20070084827A1 (en) * 2005-10-07 2007-04-19 Rohm And Haas Electronic Materials Llc Semiconductor processing
US20070096658A1 (en) * 2002-09-30 2007-05-03 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20070102287A1 (en) * 2002-09-30 2007-05-10 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US20070107846A1 (en) * 2002-09-30 2007-05-17 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20070125494A1 (en) * 2002-09-30 2007-06-07 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20070142956A1 (en) * 2003-03-31 2007-06-21 Gary Escher Method for adjoining adjacent coatings on a processing element
US20070204794A1 (en) * 2002-09-30 2007-09-06 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20080070032A1 (en) * 1999-12-10 2008-03-20 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20080202688A1 (en) * 2007-02-27 2008-08-28 Robert Wu Silicon Carbide Gas Distribution Plate and RF Electrode for Plasma Etch Chamber
US20080289574A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Thermocouple
US20080308041A1 (en) * 1999-05-06 2008-12-18 Akira Koshiishi Plasma processing apparatus
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
CN100466152C (zh) * 2000-12-29 2009-03-04 兰姆研究公司 用于等离子工艺的电极以及制造和使用此电极的方法
US20090071407A1 (en) * 2007-09-17 2009-03-19 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US20090159000A1 (en) * 2007-12-20 2009-06-25 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US7566379B2 (en) 2002-09-30 2009-07-28 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US20090308425A1 (en) * 2008-06-17 2009-12-17 Asm America, Inc. Thermocouple
US20100095889A1 (en) * 2008-08-20 2010-04-22 Takayuki Kai Plasma doping apparatus
US20100145547A1 (en) * 2008-12-08 2010-06-10 Asm America, Inc. Thermocouple
US20100282163A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US20100284438A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple
US20100286842A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Smart Temperature Measuring Device
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US8117986B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Apparatus for an improved deposition shield in a plasma processing system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
TWI467649B (zh) * 2006-07-07 2015-01-01 Tokyo Electron Ltd Heat transfer structure and substrate processing device
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
US20170011891A1 (en) * 2008-02-29 2017-01-12 Applied Materials, Inc. Etch rate and critical dimension uniformity by selection of focus ring material
TWI587748B (zh) * 2009-06-12 2017-06-11 Tokyo Electron Ltd A method of reusing a consumable part for a plasma processing device
US10273190B2 (en) * 2015-09-03 2019-04-30 Sumitomo Osaka Cement Co., Ltd. Focus ring and method for producing focus ring
EP2893549B1 (en) * 2012-09-03 2020-03-04 Consiglio Nazionale Delle Ricerche Thermionic converter device
US10879053B2 (en) 2013-06-03 2020-12-29 Lam Research Corporation Temperature controlled substrate support assembly
US20220002863A1 (en) * 2018-10-05 2022-01-06 Lam Research Corporation Plasma processing chamber
US11692267B2 (en) 2020-12-31 2023-07-04 Applied Materials, Inc. Plasma induced modification of silicon carbide surface

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE345577T1 (de) * 1998-03-31 2006-12-15 Lam Res Corp Plasma-bearbeitungs-kammer und verfahren zur kontrolle von verunreinigungen
JP3488383B2 (ja) * 1998-05-29 2004-01-19 信越石英株式会社 ドライエッチング用石英ガラス部材およびそれを装着したドライエッチング装置
ATE394789T1 (de) * 1999-11-15 2008-05-15 Lam Res Corp Behandlungsvorrichtungen
JP2001203188A (ja) * 2000-01-19 2001-07-27 Ibiden Co Ltd 半導体製造装置用部品及び半導体製造装置
JP2001203190A (ja) * 2000-01-20 2001-07-27 Ibiden Co Ltd 半導体製造装置用部品及び半導体製造装置
JP4925152B2 (ja) * 2000-01-21 2012-04-25 イビデン株式会社 半導体製造装置用部品及び半導体製造装置
JP3896280B2 (ja) * 2001-12-25 2007-03-22 松下電器産業株式会社 プラズマ処理装置およびプラズマ処理方法
KR100669008B1 (ko) 2004-12-03 2007-01-16 삼성전자주식회사 플라즈마 반응기
JP4547345B2 (ja) * 2006-03-13 2010-09-22 日本ピラー工業株式会社 超純水用の炭化珪素質ベアリング
US8141234B2 (en) * 2009-10-19 2012-03-27 General Electric Company Optimal magnetization of non-magnetized permanent magnet segments in electrical machines
KR101628689B1 (ko) * 2016-01-29 2016-06-09 하나머티리얼즈(주) 플라즈마 처리 장치용 탄화규소 부품 및 이의 제조방법
JP2019147984A (ja) * 2018-02-27 2019-09-05 京セラ株式会社 炭化ケイ素部材
KR20200019070A (ko) * 2018-08-13 2020-02-21 에스케이씨솔믹스 주식회사 탄화붕소 소결체의 제조방법 및 성형다이
US20200062654A1 (en) * 2018-08-13 2020-02-27 Skc Solmics Co., Ltd. Boron carbide sintered body and etcher including the same

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209474A (en) * 1977-08-31 1980-06-24 General Electric Company Process for preparing semiconducting silicon carbide sintered body
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
JPS60200519A (ja) * 1984-03-26 1985-10-11 Hitachi Ltd 発熱体
JPS61245558A (ja) * 1985-04-23 1986-10-31 Hitachi Ltd 抵抗回路素子
JPS63138737A (ja) * 1986-12-01 1988-06-10 Hitachi Ltd ドライエッチング方法
US4810526A (en) * 1986-02-06 1989-03-07 Toshiba Ceramics Co., Ltd. Method of coating a recrystallized silicon carbide body with a compact silicon carbide coating
US4999228A (en) * 1988-05-06 1991-03-12 Shin-Etsu Chemical Co., Ltd. Silicon carbide diffusion tube for semi-conductor
JPH03201322A (ja) * 1989-12-26 1991-09-03 Japan Atom Energy Res Inst 絶縁用部材及びそれを用いた電気部品
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
EP0552491A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Plasma etch process
EP0601468A1 (en) * 1992-12-01 1994-06-15 Applied Materials, Inc. Process and electromagnetically coupled planar plasma apparatus for etching oxides
JPH06317894A (ja) * 1993-04-20 1994-11-15 Shin Etsu Chem Co Ltd 半導体リソグラフィー用ペリクルの製造方法
US5448418A (en) * 1991-09-30 1995-09-05 Toshiba Ceramics Co., Ltd. Mirror for SOR
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
JPH0817745A (ja) * 1994-06-30 1996-01-19 Toshiba Mach Co Ltd ヒータ
US5578129A (en) * 1993-03-17 1996-11-26 Tokyo Electron Limited Gas supplying head and load lock chamber of semiconductor processing system

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209474A (en) * 1977-08-31 1980-06-24 General Electric Company Process for preparing semiconducting silicon carbide sintered body
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
JPS60200519A (ja) * 1984-03-26 1985-10-11 Hitachi Ltd 発熱体
JPS61245558A (ja) * 1985-04-23 1986-10-31 Hitachi Ltd 抵抗回路素子
US4810526A (en) * 1986-02-06 1989-03-07 Toshiba Ceramics Co., Ltd. Method of coating a recrystallized silicon carbide body with a compact silicon carbide coating
JPS63138737A (ja) * 1986-12-01 1988-06-10 Hitachi Ltd ドライエッチング方法
US4999228A (en) * 1988-05-06 1991-03-12 Shin-Etsu Chemical Co., Ltd. Silicon carbide diffusion tube for semi-conductor
JPH03201322A (ja) * 1989-12-26 1991-09-03 Japan Atom Energy Res Inst 絶縁用部材及びそれを用いた電気部品
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5448418A (en) * 1991-09-30 1995-09-05 Toshiba Ceramics Co., Ltd. Mirror for SOR
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
EP0552491A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Plasma etch process
EP0601468A1 (en) * 1992-12-01 1994-06-15 Applied Materials, Inc. Process and electromagnetically coupled planar plasma apparatus for etching oxides
US5578129A (en) * 1993-03-17 1996-11-26 Tokyo Electron Limited Gas supplying head and load lock chamber of semiconductor processing system
JPH06317894A (ja) * 1993-04-20 1994-11-15 Shin Etsu Chem Co Ltd 半導体リソグラフィー用ペリクルの製造方法
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
JPH0817745A (ja) * 1994-06-30 1996-01-19 Toshiba Mach Co Ltd ヒータ

Cited By (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833051B2 (en) 1996-11-27 2004-12-21 Hitachi, Ltd. Plasma processing apparatus and method
US6180019B1 (en) * 1996-11-27 2001-01-30 Hitachi, Ltd. Plasma processing apparatus and method
US6481370B2 (en) 1996-11-27 2002-11-19 Hitachi, Ltd. Plasma processsing apparatus
US20020134510A1 (en) * 1996-11-27 2002-09-26 Hideyuki Kazumi Plasma processing apparatus and method
US20020124963A1 (en) * 1996-11-27 2002-09-12 Hideyuki Kazumi Plasma processing apparatus and method
US6499424B2 (en) 1996-11-27 2002-12-31 Hitachi, Ltd. Plasma processing apparatus and method
US6846363B2 (en) 1996-11-27 2005-01-25 Hitachi, Ltd. Plasma processing apparatus and method
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6135054A (en) * 1997-09-10 2000-10-24 Nippon Pillar Packing Co. Ltd. Semiconductor wafer holder with CVD silicon carbide film coating
US6447842B1 (en) * 1997-10-27 2002-09-10 Ngk Insulators, Ltd. Process for producing a corrosion-resistant member
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US20030178735A1 (en) * 1998-05-05 2003-09-25 Shipley Company, L.L.C. Method and apparatus for producing free-standing silicon carbide articles
US6010967A (en) * 1998-05-22 2000-01-04 Micron Technology, Inc. Plasma etching methods
US6258728B1 (en) 1998-05-22 2001-07-10 Micron Technology, Inc. Plasma etching methods
US6286452B1 (en) * 1998-12-02 2001-09-11 Matsushita Electric Industrial Co., Ltd. Sputtering apparatus
US6444087B2 (en) 1999-01-20 2002-09-03 Hitachi, Ltd. Plasma etching system
US6955720B2 (en) * 1999-03-23 2005-10-18 Asml Holding N.V. Plasma deposition of spin chucks to reduce contamination of Silicon wafers
US6562183B1 (en) * 1999-04-07 2003-05-13 Ngk Insulators, Ltd. Anti-corrosive parts for etching apparatus
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US20080308041A1 (en) * 1999-05-06 2008-12-18 Akira Koshiishi Plasma processing apparatus
US20100326601A1 (en) * 1999-05-06 2010-12-30 Tokyo Electron Limited Plasma processing apparatus
US8080126B2 (en) 1999-05-06 2011-12-20 Tokyo Electron Limited Plasma processing apparatus
US7537672B1 (en) * 1999-05-06 2009-05-26 Tokyo Electron Limited Apparatus for plasma processing
US6436361B1 (en) * 1999-07-30 2002-08-20 Asahi Glass Company, Limited Silicon carbide and process for its production
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
CN100392804C (zh) * 1999-09-23 2008-06-04 兰姆研究公司 具有平铺式瓷衬的半导体加工设备
US6291358B1 (en) 1999-10-15 2001-09-18 Micron Technology, Inc. Plasma deposition tool operating method
US20080069966A1 (en) * 1999-12-10 2008-03-20 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US7846291B2 (en) 1999-12-10 2010-12-07 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US7879179B2 (en) 1999-12-10 2011-02-01 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20080070032A1 (en) * 1999-12-10 2008-03-20 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6881608B2 (en) 1999-12-22 2005-04-19 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US20050145176A1 (en) * 1999-12-22 2005-07-07 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US20040092120A1 (en) * 1999-12-22 2004-05-13 Wicker Thomas E. Semiconductor processing equipment having improved process drift control
US6605352B1 (en) 2000-01-06 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Corrosion and erosion resistant thin film diamond coating and applications therefor
US6368452B1 (en) 2000-03-31 2002-04-09 Lam Research Corporation Plasma treatment apparatus and method of semiconductor processing
US6514378B1 (en) * 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US7166165B2 (en) * 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
US6723202B2 (en) * 2000-04-25 2004-04-20 Tokyo Electron Limited Worktable device and plasma processing apparatus for semiconductor process
US20050181617A1 (en) * 2000-06-30 2005-08-18 Bosch William F. Semiconductor processing equipment having improved particle performance
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7802539B2 (en) * 2000-06-30 2010-09-28 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6768121B2 (en) 2000-08-07 2004-07-27 Axcelis Technologies, Inc. Ion source having replaceable and sputterable solid source material
US20040000651A1 (en) * 2000-08-07 2004-01-01 Horsky Thomas N. Ion source having replaceable and sputterable solid source material
US6583544B1 (en) * 2000-08-07 2003-06-24 Axcelis Technologies, Inc. Ion source having replaceable and sputterable solid source material
US6645304B2 (en) * 2000-10-23 2003-11-11 Ngk Insulators, Ltd. Susceptors for semiconductor-producing apparatuses
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
CN100466152C (zh) * 2000-12-29 2009-03-04 兰姆研究公司 用于等离子工艺的电极以及制造和使用此电极的方法
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6773751B2 (en) 2000-12-29 2004-08-10 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US20040137147A1 (en) * 2000-12-29 2004-07-15 O'donnell Robert J. Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US20020160208A1 (en) * 2001-03-12 2002-10-31 Masataka Ito SOI substrate, annealing method therefor, semiconductor device having the SOI substrate, and method of manufacturing the same
WO2002103759A1 (en) * 2001-06-19 2002-12-27 Saint-Gobain Ceramics & Plastics, Inc. Apparatus and method of making a slip free wafer boat
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US20030198749A1 (en) * 2002-04-17 2003-10-23 Applied Materials, Inc. Coated silicon carbide cermet used in a plasma reactor
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US7611640B1 (en) 2002-05-06 2009-11-03 Lam Research Corporation Minimizing arcing in a plasma processing chamber
US20030205327A1 (en) * 2002-05-06 2003-11-06 Howald Arthur M. Apparatus and methods for minimizing arcing in a plasma processing chamber
US20040255868A1 (en) * 2002-05-17 2004-12-23 Amrhein Fred Plasma etch resistant coating and process
US20030215963A1 (en) * 2002-05-17 2003-11-20 Amrhein Fred Plasma etch resistant coating and process
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US20070204794A1 (en) * 2002-09-30 2007-09-06 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US8057600B2 (en) 2002-09-30 2011-11-15 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20070107846A1 (en) * 2002-09-30 2007-05-17 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20070125494A1 (en) * 2002-09-30 2007-06-07 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7566368B2 (en) 2002-09-30 2009-07-28 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20070096658A1 (en) * 2002-09-30 2007-05-03 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7678226B2 (en) 2002-09-30 2010-03-16 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US8118936B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US8117986B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Apparatus for an improved deposition shield in a plasma processing system
US7566379B2 (en) 2002-09-30 2009-07-28 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7811428B2 (en) 2002-09-30 2010-10-12 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US20070102287A1 (en) * 2002-09-30 2007-05-10 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US20100307687A1 (en) * 2002-11-28 2010-12-09 Tokyo Electron Limited Internal member of a plasma processing vessel
US20040216667A1 (en) * 2002-11-28 2004-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US8449715B2 (en) 2002-11-28 2013-05-28 Tokyo Electron Limited Internal member of a plasma processing vessel
US8877002B2 (en) 2002-11-28 2014-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel
US7850174B2 (en) * 2003-01-07 2010-12-14 Tokyo Electron Limited Plasma processing apparatus and focus ring
US20110048643A1 (en) * 2003-01-07 2011-03-03 Tokyo Electon Limited Plasma processing apparatus and focus ring
US20040134618A1 (en) * 2003-01-07 2004-07-15 Tokyo Electron Limited Plasma processing apparatus and focus ring
US8114247B2 (en) 2003-01-07 2012-02-14 Tokyo Electron Limited Plasma processing apparatus and focus ring
US20070000614A1 (en) * 2003-03-21 2007-01-04 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
US8382942B2 (en) * 2003-03-21 2013-02-26 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
US20070142956A1 (en) * 2003-03-31 2007-06-21 Gary Escher Method for adjoining adjacent coatings on a processing element
US20060240287A1 (en) * 2003-06-27 2006-10-26 Sho Kumagai Dummy wafer and method for manufacturing thereof
US8580075B2 (en) 2003-09-30 2013-11-12 Tokyo Electron Limited Method and system for introduction of an active material to a chemical process
US20050067098A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method and system for introduction of an active material to a chemical process
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US20050085098A1 (en) * 2003-10-20 2005-04-21 Timmermans Eric A. Method for the deposition of silicon nitride films
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20060118045A1 (en) * 2004-12-08 2006-06-08 Fink Steven T Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060151114A1 (en) * 2005-01-11 2006-07-13 Fink Steven T Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20060283552A1 (en) * 2005-06-20 2006-12-21 Rogers James H Plasma confinement rings including RF absorbing material for reducing polymer deposition
US8337662B2 (en) 2005-06-20 2012-12-25 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US9123650B2 (en) 2005-06-20 2015-09-01 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7722441B2 (en) 2005-10-07 2010-05-25 Rohm And Haas Electronic Materials Llc Semiconductor processing
US20070084827A1 (en) * 2005-10-07 2007-04-19 Rohm And Haas Electronic Materials Llc Semiconductor processing
US20090194022A1 (en) * 2005-10-07 2009-08-06 Rohm And Haas Electronic Materials Llc Semiconductor processing
US9490157B2 (en) 2005-10-07 2016-11-08 Tokai Carbon Co., Ltd. Semiconductor processing
TWI467649B (zh) * 2006-07-07 2015-01-01 Tokyo Electron Ltd Heat transfer structure and substrate processing device
US7992518B2 (en) 2007-02-27 2011-08-09 Advanced Micro-Fabrication Equipment, Inc. Asia Silicon carbide gas distribution plate and RF electrode for plasma etch chamber
US20080202688A1 (en) * 2007-02-27 2008-08-28 Robert Wu Silicon Carbide Gas Distribution Plate and RF Electrode for Plasma Etch Chamber
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US20080289574A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US20090071407A1 (en) * 2007-09-17 2009-03-19 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20100326355A1 (en) * 2007-09-17 2010-12-30 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US8118941B2 (en) 2007-09-17 2012-02-21 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7807222B2 (en) 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US20090159000A1 (en) * 2007-12-20 2009-06-25 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US7993057B2 (en) 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US20170011891A1 (en) * 2008-02-29 2017-01-12 Applied Materials, Inc. Etch rate and critical dimension uniformity by selection of focus ring material
US7946762B2 (en) 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US20090308425A1 (en) * 2008-06-17 2009-12-17 Asm America, Inc. Thermocouple
US20100095889A1 (en) * 2008-08-20 2010-04-22 Takayuki Kai Plasma doping apparatus
US8616765B2 (en) 2008-12-08 2013-12-31 Asm America, Inc. Thermocouple
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US20100145547A1 (en) * 2008-12-08 2010-06-10 Asm America, Inc. Thermocouple
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US20100286842A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Smart Temperature Measuring Device
US8100583B2 (en) 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US20100282163A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US20100284438A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple
US9267850B2 (en) 2009-05-06 2016-02-23 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
TWI587748B (zh) * 2009-06-12 2017-06-11 Tokyo Electron Ltd A method of reusing a consumable part for a plasma processing device
EP2893549B1 (en) * 2012-09-03 2020-03-04 Consiglio Nazionale Delle Ricerche Thermionic converter device
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US10879053B2 (en) 2013-06-03 2020-12-29 Lam Research Corporation Temperature controlled substrate support assembly
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
US10273190B2 (en) * 2015-09-03 2019-04-30 Sumitomo Osaka Cement Co., Ltd. Focus ring and method for producing focus ring
US20220002863A1 (en) * 2018-10-05 2022-01-06 Lam Research Corporation Plasma processing chamber
US11692267B2 (en) 2020-12-31 2023-07-04 Applied Materials, Inc. Plasma induced modification of silicon carbide surface

Also Published As

Publication number Publication date
EP0821397A2 (en) 1998-01-28
JPH10139547A (ja) 1998-05-26
KR980011810A (ko) 1998-04-30
EP0821397A3 (en) 1998-07-29
TW349236B (en) 1999-01-01

Similar Documents

Publication Publication Date Title
US5904778A (en) Silicon carbide composite article particularly useful for plasma reactors
US5910221A (en) Bonded silicon carbide parts in a plasma reactor
US6508911B1 (en) Diamond coated parts in a plasma reactor
US6197246B1 (en) Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
EP0802560B1 (en) Process and electromagnetically coupled plasma apparatus for etching oxides
KR100504614B1 (ko) 반도체 처리를 위한 가스 분산장치
EP0929205B1 (en) A heater and a method of manufacturing the same
US6308654B1 (en) Inductively coupled parallel-plate plasma reactor with a conical dome
EP1145273B1 (en) Low contamination high density plasma etch chambers and methods for making the same
JP4263245B2 (ja) パーティクル制御方法及びプラズマ処理チャンバー
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
EP0039406A2 (en) Process for plasma oxidizing substrates
EP1068632B1 (en) Contamination controlling method and plasma processing chamber
US5772832A (en) Process for etching oxides in an electromagnetically coupled planar plasma apparatus
EP0680075A1 (en) Electrode for generating plasma, element for burying electrode, and method for manufacturing the electrode and the element
US6090303A (en) Process for etching oxides in an electromagnetically coupled planar plasma apparatus
JP2813154B2 (ja) プラズマ発生電極装置およびプラズマ発生装置
JP3419992B2 (ja) セラミックス部材
JPH0633270A (ja) 真空処理装置
JPH10199862A (ja) プラズマ処理装置用保護部材及び該保護部材を使用したプラズマ処理装置
JPH0797582B2 (ja) 表面処理装置

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LU, HAO A.;HAN, NIANCI;YIN, GERALD Z.;AND OTHERS;REEL/FRAME:008247/0182;SIGNING DATES FROM 19960919 TO 19960923

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20030518