| 
            
              US2369620A
              (en)
            
            
            
           | 
          1941-03-07 | 
          1945-02-13 | 
          Battelle Development Corp | 
          Method of coating cupreous metal with tin 
      | 
        
        
          | 
            
              US3451840A
              (en)
            
            
            
           | 
          1965-10-06 | 
          1969-06-24 | 
          Us Air Force | 
          Wire coated with boron nitride and boron 
        | 
        
        
          | 
            
              US3401302A
              (en)
            
            
            
           | 
          1965-11-01 | 
          1968-09-10 | 
          Humphreys Corp | 
          Induction plasma generator including cooling means, gas flow means, and operating means therefor 
        | 
        
        
          | 
            
              US3537474A
              (en)
            
            
            
           | 
          1968-02-19 | 
          1970-11-03 | 
          Varian Associates | 
          Push button vacuum control valve and vacuum system using same 
      | 
        
        
          | 
            
              US3756511A
              (en)
            
            
            
           | 
          1971-02-02 | 
          1973-09-04 | 
          Kogyo Kaihatsu Kenyusho | 
          Nozzle and torch for plasma jet 
        | 
        
        
          | 
            
              US3969077A
              (en)
            
            
            
           | 
          1971-12-16 | 
          1976-07-13 | 
          Varian Associates | 
          Alkali metal leak detection method and apparatus 
        | 
        
        
          | 
            
              US4632857A
              (en)
            
            
            
           | 
          1974-05-24 | 
          1986-12-30 | 
          Richardson Chemical Company | 
          Electrolessly plated product having a polymetallic catalytic film underlayer 
        | 
        
        
          | 
            
              US4397812A
              (en)
            
            
            
           | 
          1974-05-24 | 
          1983-08-09 | 
          Richardson Chemical Company | 
          Electroless nickel polyalloys 
        | 
        
        
          | 
            
              US4232060A
              (en)
            
            
            
           | 
          1979-01-22 | 
          1980-11-04 | 
          Richardson Chemical Company | 
          Method of preparing substrate surface for electroless plating and products produced thereby 
        | 
        
        
          | 
            
              US3937857A
              (en)
            
            
            
           | 
          1974-07-22 | 
          1976-02-10 | 
          Amp Incorporated | 
          Catalyst for electroless deposition of metals 
        | 
        
        
          | 
            
              US4006047A
              (en)
            
            
            
           | 
          1974-07-22 | 
          1977-02-01 | 
          Amp Incorporated | 
          Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates 
        | 
        
        
          | 
            
              US4341592A
              (en)
            
            
            
           | 
          1975-08-04 | 
          1982-07-27 | 
          Texas Instruments Incorporated | 
          Method for removing photoresist layer from substrate by ozone treatment 
        | 
        
        
          | 
            
              US4265943A
              (en)
            
            
            
           | 
          1978-11-27 | 
          1981-05-05 | 
          Macdermid Incorporated | 
          Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions 
        | 
        
        
          | 
            
              US4234628A
              (en)
            
            
            
           | 
          1978-11-28 | 
          1980-11-18 | 
          The Harshaw Chemical Company | 
          Two-step preplate system for polymeric surfaces 
        | 
        
        
          | 
            
              US4214946A
              (en)
            
            
            
           | 
          1979-02-21 | 
          1980-07-29 | 
          International Business Machines Corporation | 
          Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant 
        | 
        
        
          | 
            
              US4361441A
              (en)
            
            
            
           | 
          1979-04-17 | 
          1982-11-30 | 
          Plasma Holdings N.V. | 
          Treatment of matter in low temperature plasmas 
        | 
        
        
          | 
            
              US4209357A
              (en)
            
            
            
           | 
          1979-05-18 | 
          1980-06-24 | 
          Tegal Corporation | 
          Plasma reactor apparatus 
        | 
        
        
          | 
            
              IT1130955B
              (en)
            
            
            
           | 
          1980-03-11 | 
          1986-06-18 | 
          Oronzio De Nora Impianti | 
          
  PROCEDURE FOR THE FORMATION OF ELECTROCES ON THE SURFACES OF SEMI-PERMEABLE MEMBRANES AND ELECTRODE-MEMBRANE SYSTEMS SO PRODUCED
 
      | 
        
        
          | 
            
              US4361418A
              (en)
            
            
            
           | 
          1980-05-06 | 
          1982-11-30 | 
          Risdon Corporation | 
          High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions 
        | 
        
        
          | 
            
              NL8004005A
              (en)
            
            
            
           | 
          1980-07-11 | 
          1982-02-01 | 
          Philips Nv | 
          
  METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE
 
      | 
        
        
          | 
            
              US4340462A
              (en)
            
            
            
           | 
          1981-02-13 | 
          1982-07-20 | 
          Lam Research Corporation | 
          Adjustable electrode plasma processing chamber 
        | 
        
        
          | 
            
              US4368223A
              (en)
            
            
            
           | 
          1981-06-01 | 
          1983-01-11 | 
          Asahi Glass Company, Ltd. | 
          Process for preparing nickel layer 
        | 
        
        
          | 
            
              DE3205345A1
              (en)
            
            
            
           | 
          1982-02-15 | 
          1983-09-01 | 
          Philips Patentverwaltung Gmbh, 2000 Hamburg | 
          
  "METHOD FOR THE PRODUCTION OF FLUOREDOTED LIGHT-CONDUCTING FIBERS"
 
      | 
        
        
          | 
            
              US4585920A
              (en)
            
            
            
           | 
          1982-05-21 | 
          1986-04-29 | 
          Tegal Corporation | 
          Plasma reactor removable insert 
        | 
        
        
          | 
            
              JPS591671A
              (en)
            
            
            
           | 
          1982-05-28 | 
          1984-01-07 | 
          Fujitsu Ltd | 
          Plasma cvd device 
        | 
        
        
          | 
            
              JPS59126778A
              (en)
            
            
            
           | 
          1983-01-11 | 
          1984-07-21 | 
          Tokyo Denshi Kagaku Kabushiki | 
          Method and device for plasma etching 
        | 
        
        
          | 
            
              JPS6060060A
              (en)
            
            
            
           | 
          1983-09-12 | 
          1985-04-06 | 
          株式会社日立製作所 | 
          Switchgear for door of railway rolling stock 
        | 
        
        
          | 
            
              US4579618A
              (en)
            
            
            
           | 
          1984-01-06 | 
          1986-04-01 | 
          Tegal Corporation | 
          Plasma reactor apparatus 
        | 
        
        
          | 
            
              US4656052A
              (en)
            
            
            
           | 
          1984-02-13 | 
          1987-04-07 | 
          Kyocera Corporation | 
          Process for production of high-hardness boron nitride film 
        | 
        
        
          | 
            
              US4656076A
              (en)
            
            
            
           | 
          1985-04-26 | 
          1987-04-07 | 
          Triquint Semiconductors, Inc. | 
          Self-aligned recessed gate process 
        | 
        
        
          | 
            
              US4807016A
              (en)
            
            
            
           | 
          1985-07-15 | 
          1989-02-21 | 
          Texas Instruments Incorporated | 
          Dry etch of phosphosilicate glass with selectivity to undoped oxide 
        | 
        
        
          | 
            
              US4610775A
              (en)
            
            
            
           | 
          1985-07-26 | 
          1986-09-09 | 
          Westinghouse Electric Corp. | 
          Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber 
        | 
        
        
          | 
            
              US4749440A
              (en)
            
            
            
           | 
          1985-08-28 | 
          1988-06-07 | 
          Fsi Corporation | 
          Gaseous process and apparatus for removing films from substrates 
        | 
        
        
          | 
            
              US4668335A
              (en)
            
            
            
           | 
          1985-08-30 | 
          1987-05-26 | 
          Advanced Micro Devices, Inc. | 
          Anti-corrosion treatment for patterning of metallic layers 
        | 
        
        
          | 
            
              US4690746A
              (en)
            
            
            
           | 
          1986-02-24 | 
          1987-09-01 | 
          Genus, Inc. | 
          Interlayer dielectric process 
        | 
        
        
          | 
            
              US4715937A
              (en)
            
            
            
           | 
          1986-05-05 | 
          1987-12-29 | 
          The Board Of Trustees Of The Leland Stanford Junior University | 
          Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge 
        | 
        
        
          | 
            
              US5000113A
              (en)
            
            
            
           | 
          1986-12-19 | 
          1991-03-19 | 
          Applied Materials, Inc. | 
          Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process 
        | 
        
        
          | 
            
              US4951601A
              (en)
            
            
            
           | 
          1986-12-19 | 
          1990-08-28 | 
          Applied Materials, Inc. | 
          Multi-chamber integrated process system 
        | 
        
        
          | 
            
              US4960488A
              (en)
            
            
            
           | 
          1986-12-19 | 
          1990-10-02 | 
          Applied Materials, Inc. | 
          Reactor chamber self-cleaning process 
        | 
        
        
          | 
            
              US5228501A
              (en)
            
            
            
           | 
          1986-12-19 | 
          1993-07-20 | 
          Applied Materials, Inc. | 
          Physical vapor deposition clamping mechanism and heater/cooler 
        | 
        
        
          | 
            
              JPS63204726A
              (en)
            
            
            
           | 
          1987-02-20 | 
          1988-08-24 | 
          Anelva Corp | 
          
        Vacuum processing equipment
       
        | 
        
        
          | 
            
              US5322976A
              (en)
            
            
            
           | 
          1987-02-24 | 
          1994-06-21 | 
          Polyonics Corporation | 
          Process for forming polyimide-metal laminates 
        | 
        
        
          | 
            
              KR910006164B1
              (en)
            
            
            
           | 
          1987-03-18 | 
          1991-08-16 | 
          가부시키가이샤 도시바 | 
          Making method and there device of thin film 
        | 
        
        
          | 
            
              US4793897A
              (en)
            
            
            
           | 
          1987-03-20 | 
          1988-12-27 | 
          Applied Materials, Inc. | 
          Selective thin film etch process 
        | 
        
        
          | 
            
              US4786360A
              (en)
            
            
            
           | 
          1987-03-30 | 
          1988-11-22 | 
          International Business Machines Corporation | 
          Anisotropic etch process for tungsten metallurgy 
        | 
        
        
          | 
            
              US5198034A
              (en)
            
            
            
           | 
          1987-03-31 | 
          1993-03-30 | 
          Epsilon Technology, Inc. | 
          Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment 
        | 
        
        
          | 
            
              EP0286306B1
              (en)
            
            
            
           | 
          1987-04-03 | 
          1993-10-06 | 
          Fujitsu Limited | 
          Method and apparatus for vapor deposition of diamond 
        | 
        
        
          | 
            
              US4913929A
              (en)
            
            
            
           | 
          1987-04-21 | 
          1990-04-03 | 
          The Board Of Trustees Of The Leland Stanford Junior University | 
          Thermal/microwave remote plasma multiprocessing reactor and method of use 
        | 
        
        
          | 
            
              US4753898A
              (en)
            
            
            
           | 
          1987-07-09 | 
          1988-06-28 | 
          Motorola, Inc. | 
          LDD CMOS process 
        | 
        
        
          | 
            
              US4820377A
              (en)
            
            
            
           | 
          1987-07-16 | 
          1989-04-11 | 
          Texas Instruments Incorporated | 
          Method for cleanup processing chamber and vacuum process module 
        | 
        
        
          | 
            
              US4828649A
              (en)
            
            
            
           | 
          1987-07-16 | 
          1989-05-09 | 
          Texas Instruments Incorporated | 
          Method for etching an aluminum film doped with silicon 
        | 
        
        
          | 
            
              US4857140A
              (en)
            
            
            
           | 
          1987-07-16 | 
          1989-08-15 | 
          Texas Instruments Incorporated | 
          Method for etching silicon nitride 
        | 
        
        
          | 
            
              US4904621A
              (en)
            
            
            
           | 
          1987-07-16 | 
          1990-02-27 | 
          Texas Instruments Incorporated | 
          Remote plasma generation process using a two-stage showerhead 
        | 
        
        
          | 
            
              JPS6432627A
              (en)
            
            
            
           | 
          1987-07-29 | 
          1989-02-02 | 
          Hitachi Ltd | 
          Low-temperature dry etching method 
      | 
        
        
          | 
            
              US4919750A
              (en)
            
            
            
           | 
          1987-09-14 | 
          1990-04-24 | 
          International Business Machines Corporation | 
          Etching metal films with complexing chloride plasma 
        | 
        
        
          | 
            
              US4810520A
              (en)
            
            
            
           | 
          1987-09-23 | 
          1989-03-07 | 
          Magnetic Peripherals Inc. | 
          Method for controlling electroless magnetic plating 
        | 
        
        
          | 
            
              US5180435A
              (en)
            
            
            
           | 
          1987-09-24 | 
          1993-01-19 | 
          Research Triangle Institute, Inc. | 
          Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer 
        | 
        
        
          | 
            
              US4991542A
              (en)
            
            
            
           | 
          1987-10-14 | 
          1991-02-12 | 
          The Furukawa Electric Co., Ltd. | 
          Method of forming a thin film by plasma CVD and apapratus for forming a thin film 
        | 
        
        
          | 
            
              US4981551A
              (en)
            
            
            
           | 
          1987-11-03 | 
          1991-01-01 | 
          North Carolina State University | 
          Dry etching of silicon carbide 
        | 
        
        
          | 
            
              US4792378A
              (en)
            
            
            
           | 
          1987-12-15 | 
          1988-12-20 | 
          Texas Instruments Incorporated | 
          Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor 
        | 
        
        
          | 
            
              JP2804037B2
              (en)
            
            
            
           | 
          1988-02-05 | 
          1998-09-24 | 
          株式会社東芝 | 
          
        Dry etching method
       
        | 
        
        
          | 
            
              JPH01297141A
              (en)
            
            
            
           | 
          1988-05-25 | 
          1989-11-30 | 
          Canon Inc | 
          Microwave plasma processing device 
        | 
        
        
          | 
            
              US4900856A
              (en)
            
            
            
           | 
          1988-05-26 | 
          1990-02-13 | 
          Ethyl Corporation | 
          Preparation of metal halide-amine complexes 
        | 
        
        
          | 
            
              JPH029115A
              (en)
            
            
            
           | 
          1988-06-28 | 
          1990-01-12 | 
          Mitsubishi Electric Corp | 
          
        semiconductor manufacturing equipment
       
        | 
        
        
          | 
            
              JPH02114525A
              (en)
            
            
            
           | 
          1988-10-24 | 
          1990-04-26 | 
          Toshiba Corp | 
          
        Organic compound film removal method and removal device
       
        | 
        
        
          | 
            
              KR930004115B1
              (en)
            
            
            
           | 
          1988-10-31 | 
          1993-05-20 | 
          후지쓰 가부시끼가이샤 | 
          
        Ashing method and apparatus
       
        | 
        
        
          | 
            
              US5030319A
              (en)
            
            
            
           | 
          1988-12-27 | 
          1991-07-09 | 
          Kabushiki Kaisha Toshiba | 
          Method of oxide etching with condensed plasma reaction product 
        | 
        
        
          | 
            
              US4985372A
              (en)
            
            
            
           | 
          1989-02-17 | 
          1991-01-15 | 
          Tokyo Electron Limited | 
          Method of forming conductive layer including removal of native oxide 
        | 
        
        
          | 
            
              JP2823276B2
              (en)
            
            
            
           | 
          1989-03-18 | 
          1998-11-11 | 
          株式会社東芝 | 
          
        Method for manufacturing X-ray mask and apparatus for controlling internal stress of thin film
       
        | 
        
        
          | 
            
              US4946903A
              (en)
            
            
            
           | 
          1989-03-27 | 
          1990-08-07 | 
          The Research Foundation Of State University Of Ny | 
          Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies 
        | 
        
        
          | 
            
              US5186718A
              (en)
            
            
            
           | 
          1989-05-19 | 
          1993-02-16 | 
          Applied Materials, Inc. | 
          Staged-vacuum wafer processing system and method 
        | 
        
        
          | 
            
              US5061838A
              (en)
            
            
            
           | 
          1989-06-23 | 
          1991-10-29 | 
          Massachusetts Institute Of Technology | 
          Toroidal electron cyclotron resonance reactor 
        | 
        
        
          | 
            
              US5270125A
              (en)
            
            
            
           | 
          1989-07-11 | 
          1993-12-14 | 
          Redwood Microsystems, Inc. | 
          Boron nutride membrane in wafer structure 
        | 
        
        
          | 
            
              US4993358A
              (en)
            
            
            
           | 
          1989-07-28 | 
          1991-02-19 | 
          Watkins-Johnson Company | 
          Chemical vapor deposition reactor and method of operation 
        | 
        
        
          | 
            
              US5013691A
              (en)
            
            
            
           | 
          1989-07-31 | 
          1991-05-07 | 
          At&T Bell Laboratories | 
          Anisotropic deposition of silicon dioxide 
        | 
        
        
          | 
            
              US5028565A
              (en)
            
            
            
           | 
          1989-08-25 | 
          1991-07-02 | 
          Applied Materials, Inc. | 
          Process for CVD deposition of tungsten layer on semiconductor wafer 
        | 
        
        
          | 
            
              US4994404A
              (en)
            
            
            
           | 
          1989-08-28 | 
          1991-02-19 | 
          Motorola, Inc. | 
          Method for forming a lightly-doped drain (LDD) structure in a semiconductor device 
        | 
        
        
          | 
            
              US4980018A
              (en)
            
            
            
           | 
          1989-11-14 | 
          1990-12-25 | 
          Intel Corporation | 
          Plasma etching process for refractory metal vias 
        | 
        
        
          | 
            
              EP0447155B1
              (en)
            
            
            
           | 
          1990-03-12 | 
          1995-07-26 | 
          Ngk Insulators, Ltd. | 
          Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters 
        | 
        
        
          | 
            
              JP2960466B2
              (en)
            
            
            
           | 
          1990-03-19 | 
          1999-10-06 | 
          株式会社日立製作所 | 
          
        Method and apparatus for forming wiring insulating film of semiconductor device
       
        | 
        
        
          | 
            
              US5089441A
              (en)
            
            
            
           | 
          1990-04-16 | 
          1992-02-18 | 
          Texas Instruments Incorporated | 
          Low-temperature in-situ dry cleaning process for semiconductor wafers 
        | 
        
        
          | 
            
              US5328810A
              (en)
            
            
            
           | 
          1990-05-07 | 
          1994-07-12 | 
          Micron Technology, Inc. | 
          Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process 
        | 
        
        
          | 
            
              US5147692A
              (en)
            
            
            
           | 
          1990-05-08 | 
          1992-09-15 | 
          Macdermid, Incorporated | 
          Electroless plating of nickel onto surfaces such as copper or fused tungston 
        | 
        
        
          | 
            
              US5238499A
              (en)
            
            
            
           | 
          1990-07-16 | 
          1993-08-24 | 
          Novellus Systems, Inc. | 
          Gas-based substrate protection during processing 
        | 
        
        
          | 
            
              US5083030A
              (en)
            
            
            
           | 
          1990-07-18 | 
          1992-01-21 | 
          Applied Photonics Research | 
          Double-sided radiation-assisted processing apparatus 
        | 
        
        
          | 
            
              US5235139A
              (en)
            
            
            
           | 
          1990-09-12 | 
          1993-08-10 | 
          Macdermid, Incorprated | 
          Method for fabricating printed circuits 
        | 
        
        
          | 
            
              US5089442A
              (en)
            
            
            
           | 
          1990-09-20 | 
          1992-02-18 | 
          At&T Bell Laboratories | 
          Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd 
        | 
        
        
          | 
            
              KR930011413B1
              (en)
            
            
            
           | 
          1990-09-25 | 
          1993-12-06 | 
          가부시키가이샤 한도오따이 에네루기 겐큐쇼 | 
          Plasma cvd method for using pulsed waveform 
        | 
        
        
          | 
            
              EP0478233B1
              (en)
            
            
            
           | 
          1990-09-27 | 
          1996-01-03 | 
          AT&T Corp. | 
          Process for fabricating integrated circuits 
        | 
        
        
          | 
            
              JPH04142738A
              (en)
            
            
            
           | 
          1990-10-04 | 
          1992-05-15 | 
          Sony Corp | 
          Dry-etching method 
        | 
        
        
          | 
            
              US5549780A
              (en)
            
            
            
           | 
          1990-10-23 | 
          1996-08-27 | 
          Semiconductor Energy Laboratory Co., Ltd. | 
          Method for plasma processing and apparatus for plasma processing 
        | 
        
        
          | 
            
              JP2640174B2
              (en)
            
            
            
           | 
          1990-10-30 | 
          1997-08-13 | 
          三菱電機株式会社 | 
          
        Semiconductor device and manufacturing method thereof
       
        | 
        
        
          | 
            
              JP3206916B2
              (en)
            
            
            
           | 
          1990-11-28 | 
          2001-09-10 | 
          住友電気工業株式会社 | 
          
        Method for reducing defect concentration, method for producing optical glass for transmitting ultraviolet light, and optical glass for transmitting ultraviolet light
       
        | 
        
        
          | 
            
              US5578130A
              (en)
            
            
            
           | 
          1990-12-12 | 
          1996-11-26 | 
          Semiconductor Energy Laboratory Co., Ltd. | 
          Apparatus and method for depositing a film 
        | 
        
        
          | 
            
              WO1992012535A1
              (en)
            
            
            
           | 
          1991-01-08 | 
          1992-07-23 | 
          Fujitsu Limited | 
          Process for forming silicon oxide film 
        | 
        
        
          | 
            
              JP2697315B2
              (en)
            
            
            
           | 
          1991-01-23 | 
          1998-01-14 | 
          日本電気株式会社 | 
          
        Method of forming fluorine-containing silicon oxide film
       
        | 
        
        
          | 
            
              JP2787142B2
              (en)
            
            
            
           | 
          1991-03-01 | 
          1998-08-13 | 
          上村工業  株式会社 | 
          
  Electroless tin, lead or their alloy plating method
 
        | 
        
        
          | 
            
              DE4107006A1
              (en)
            
            
            
           | 
          1991-03-05 | 
          1992-09-10 | 
          Siemens Ag | 
          
        METHOD FOR ANISOTROPICALLY DRYING ALUMINUM OR BZW. ALUMINUM ALLOYS CONTAINING LADDER RAILINGS IN INTEGRATED SEMICONDUCTOR CIRCUITS
       
        | 
        
        
          | 
            
              US5897751A
              (en)
            
            
            
           | 
          1991-03-11 | 
          1999-04-27 | 
          Regents Of The University Of California | 
          Method of fabricating boron containing coatings 
        | 
        
        
          | 
            
              US5330578A
              (en)
            
            
            
           | 
          1991-03-12 | 
          1994-07-19 | 
          Semiconductor Energy Laboratory Co., Ltd. | 
          Plasma treatment apparatus 
        | 
        
        
          | 
            
              WO1992017900A1
              (en)
            
            
            
           | 
          1991-04-03 | 
          1992-10-15 | 
          Eastman Kodak Company | 
          HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs 
        | 
        
        
          | 
            
              EP0511448A1
              (en)
            
            
            
           | 
          1991-04-30 | 
          1992-11-04 | 
          International Business Machines Corporation | 
          Method and apparatus for in-situ and on-line monitoring of a trench formation process 
        | 
        
        
          | 
            
              JPH04341568A
              (en)
            
            
            
           | 
          1991-05-16 | 
          1992-11-27 | 
          Toshiba Corp | 
          
        Thin film forming method and thin film forming apparatus
       
        | 
        
        
          | 
            
              JP2699695B2
              (en)
            
            
            
           | 
          1991-06-07 | 
          1998-01-19 | 
          日本電気株式会社 | 
          
        Chemical vapor deposition
       
        | 
        
        
          | 
            
              US5203911A
              (en)
            
            
            
           | 
          1991-06-24 | 
          1993-04-20 | 
          Shipley Company Inc. | 
          Controlled electroless plating 
        | 
        
        
          | 
            
              US6077384A
              (en)
            
            
            
           | 
          1994-08-11 | 
          2000-06-20 | 
          Applied Materials, Inc. | 
          Plasma reactor having an inductive antenna coupling power through a parallel plate electrode 
        | 
        
        
          | 
            
              US6074512A
              (en)
            
            
            
           | 
          1991-06-27 | 
          2000-06-13 | 
          Applied Materials, Inc. | 
          Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners 
        | 
        
        
          | 
            
              US5279865A
              (en)
            
            
            
           | 
          1991-06-28 | 
          1994-01-18 | 
          Digital Equipment Corporation | 
          High throughput interlevel dielectric gap filling process 
        | 
        
        
          | 
            
              JPH0521393A
              (en)
            
            
            
           | 
          1991-07-11 | 
          1993-01-29 | 
          Sony Corp | 
          Plasma processor 
        | 
        
        
          | 
            
              JPH0562936A
              (en)
            
            
            
           | 
          1991-09-03 | 
          1993-03-12 | 
          Mitsubishi Electric Corp | 
          Plasma processor and plasma cleaning method 
        | 
        
        
          | 
            
              US5240497A
              (en)
            
            
            
           | 
          1991-10-08 | 
          1993-08-31 | 
          Cornell Research Foundation, Inc. | 
          Alkaline free electroless deposition 
        | 
        
        
          | 
            
              JPH05226480A
              (en)
            
            
            
           | 
          1991-12-04 | 
          1993-09-03 | 
          Nec Corp | 
          Manufacture of semiconductor device 
        | 
        
        
          | 
            
              US5290382A
              (en)
            
            
            
           | 
          1991-12-13 | 
          1994-03-01 | 
          Hughes Aircraft Company | 
          Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films 
        | 
        
        
          | 
            
              US5279669A
              (en)
            
            
            
           | 
          1991-12-13 | 
          1994-01-18 | 
          International Business Machines Corporation | 
          Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions 
        | 
        
        
          | 
            
              US5352636A
              (en)
            
            
            
           | 
          1992-01-16 | 
          1994-10-04 | 
          Applied Materials, Inc. | 
          In situ method for cleaning silicon surface and forming layer thereon in same chamber 
        | 
        
        
          | 
            
              US5300463A
              (en)
            
            
            
           | 
          1992-03-06 | 
          1994-04-05 | 
          Micron Technology, Inc. | 
          Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers 
        | 
        
        
          | 
            
              JP3084497B2
              (en)
            
            
            
           | 
          1992-03-25 | 
          2000-09-04 | 
          東京エレクトロン株式会社 | 
          
        Method for etching SiO2 film
       
        | 
        
        
          | 
            
              JP2773530B2
              (en)
            
            
            
           | 
          1992-04-15 | 
          1998-07-09 | 
          日本電気株式会社 | 
          
        Method for manufacturing semiconductor device
       
        | 
        
        
          | 
            
              JP2792335B2
              (en)
            
            
            
           | 
          1992-05-27 | 
          1998-09-03 | 
          日本電気株式会社 | 
          
  Method for manufacturing semiconductor device
 
        | 
        
        
          | 
            
              DE69320963T2
              (en)
            
            
            
           | 
          1992-06-22 | 
          1999-05-12 | 
          Lam Research Corp., Fremont, Calif. | 
          
  PLASMA CLEANING METHOD FOR REMOVING RESIDUES IN A PLASMA TREATMENT CHAMBER
 
      | 
        
        
          | 
            
              US5286297A
              (en)
            
            
            
           | 
          1992-06-24 | 
          1994-02-15 | 
          Texas Instruments Incorporated | 
          Multi-electrode plasma processing apparatus 
        | 
        
        
          | 
            
              US5252178A
              (en)
            
            
            
           | 
          1992-06-24 | 
          1993-10-12 | 
          Texas Instruments Incorporated | 
          Multi-zone plasma processing method and apparatus 
        | 
        
        
          | 
            
              JP3688726B2
              (en)
            
            
            
           | 
          1992-07-17 | 
          2005-08-31 | 
          株式会社東芝 | 
          
        Manufacturing method of semiconductor device
       
      | 
        
        
          | 
            
              US5380560A
              (en)
            
            
            
           | 
          1992-07-28 | 
          1995-01-10 | 
          International Business Machines Corporation | 
          Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition 
        | 
        
        
          | 
            
              US5248371A
              (en)
            
            
            
           | 
          1992-08-13 | 
          1993-09-28 | 
          General Signal Corporation | 
          Hollow-anode glow discharge apparatus 
        | 
        
        
          | 
            
              US5292370A
              (en)
            
            
            
           | 
          1992-08-14 | 
          1994-03-08 | 
          Martin Marietta Energy Systems, Inc. | 
          Coupled microwave ECR and radio-frequency plasma source for plasma processing 
        | 
        
        
          | 
            
              US5271972A
              (en)
            
            
            
           | 
          1992-08-17 | 
          1993-12-21 | 
          Applied Materials, Inc. | 
          Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity 
        | 
        
        
          | 
            
              US5326427A
              (en)
            
            
            
           | 
          1992-09-11 | 
          1994-07-05 | 
          Lsi Logic Corporation | 
          Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation 
        | 
        
        
          | 
            
              US5306530A
              (en)
            
            
            
           | 
          1992-11-23 | 
          1994-04-26 | 
          Associated Universities, Inc. | 
          Method for producing high quality thin layer films on substrates 
        | 
        
        
          | 
            
              JP2809018B2
              (en)
            
            
            
           | 
          1992-11-26 | 
          1998-10-08 | 
          日本電気株式会社 | 
          
        Semiconductor device and manufacturing method thereof
       
        | 
        
        
          | 
            
              KR100238629B1
              (en)
            
            
            
           | 
          1992-12-17 | 
          2000-01-15 | 
          히가시 데쓰로 | 
          Stage having eletrostatic chuck and plasma processing apparatus using same 
        | 
        
        
          | 
            
              US5500249A
              (en)
            
            
            
           | 
          1992-12-22 | 
          1996-03-19 | 
          Applied Materials, Inc. | 
          Uniform tungsten silicide films produced by chemical vapor deposition 
        | 
        
        
          | 
            
              US5756402A
              (en)
            
            
            
           | 
          1992-12-28 | 
          1998-05-26 | 
          Kabushiki Kaisha Toshiba | 
          Method of etching silicon nitride film 
        | 
        
        
          | 
            
              US5624582A
              (en)
            
            
            
           | 
          1993-01-21 | 
          1997-04-29 | 
          Vlsi Technology, Inc. | 
          Optimization of dry etching through the control of helium backside pressure 
        | 
        
        
          | 
            
              US5345999A
              (en)
            
            
            
           | 
          1993-03-17 | 
          1994-09-13 | 
          Applied Materials, Inc. | 
          Method and apparatus for cooling semiconductor wafers 
        | 
        
        
          | 
            
              US5302233A
              (en)
            
            
            
           | 
          1993-03-19 | 
          1994-04-12 | 
          Micron Semiconductor, Inc. | 
          Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP) 
        | 
        
        
          | 
            
              JP3236111B2
              (en)
            
            
            
           | 
          1993-03-31 | 
          2001-12-10 | 
          キヤノン株式会社 | 
          
        Plasma processing apparatus and processing method
       
        | 
        
        
          | 
            
              US5800686A
              (en)
            
            
            
           | 
          1993-04-05 | 
          1998-09-01 | 
          Applied Materials, Inc. | 
          Chemical vapor deposition chamber with substrate edge protection 
        | 
        
        
          | 
            
              KR0142150B1
              (en)
            
            
            
           | 
          1993-04-09 | 
          1998-07-15 | 
          윌리엄 티. 엘리스 | 
          
        Method for Etching Boron Nitride
       
        | 
        
        
          | 
            
              US5416048A
              (en)
            
            
            
           | 
          1993-04-16 | 
          1995-05-16 | 
          Micron Semiconductor, Inc. | 
          Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage 
        | 
        
        
          | 
            
              EP0628644B1
              (en)
            
            
            
           | 
          1993-05-27 | 
          2003-04-02 | 
          Applied Materials, Inc. | 
          Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices 
        | 
        
        
          | 
            
              US5591269A
              (en)
            
            
            
           | 
          1993-06-24 | 
          1997-01-07 | 
          Tokyo Electron Limited | 
          Vacuum processing apparatus 
        | 
        
        
          | 
            
              US5292682A
              (en)
            
            
            
           | 
          1993-07-06 | 
          1994-03-08 | 
          Eastman Kodak Company | 
          Method of making two-phase charge coupled device 
        | 
        
        
          | 
            
              US5413670A
              (en)
            
            
            
           | 
          1993-07-08 | 
          1995-05-09 | 
          Air Products And Chemicals, Inc. | 
          Method for plasma etching or cleaning with diluted NF3 
        | 
        
        
          | 
            
              US5560779A
              (en)
            
            
            
           | 
          1993-07-12 | 
          1996-10-01 | 
          Olin Corporation | 
          Apparatus for synthesizing diamond films utilizing an arc plasma 
        | 
        
        
          | 
            
              WO1995002900A1
              (en)
            
            
            
           | 
          1993-07-15 | 
          1995-01-26 | 
          Astarix, Inc. | 
          Aluminum-palladium alloy for initiation of electroless plating 
        | 
        
        
          | 
            
              DE69421465T2
              (en)
            
            
            
           | 
          1993-07-30 | 
          2000-02-10 | 
          Applied Materials, Inc. | 
          
        Process for the deposition of silicon nitride on silicon surfaces
       
      | 
        
        
          | 
            
              US5483920A
              (en)
            
            
            
           | 
          1993-08-05 | 
          1996-01-16 | 
          Board Of Governors Of Wayne State University | 
          Method of forming cubic boron nitride films 
        | 
        
        
          | 
            
              US5685946A
              (en)
            
            
            
           | 
          1993-08-11 | 
          1997-11-11 | 
          The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | 
          Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices 
        | 
        
        
          | 
            
              US5468597A
              (en)
            
            
            
           | 
          1993-08-25 | 
          1995-11-21 | 
          Shipley Company, L.L.C. | 
          Selective metallization process 
        | 
        
        
          | 
            
              US5614055A
              (en)
            
            
            
           | 
          1993-08-27 | 
          1997-03-25 | 
          Applied Materials, Inc. | 
          High density plasma CVD and etching reactor 
        | 
        
        
          | 
            
              US5865896A
              (en)
            
            
            
           | 
          1993-08-27 | 
          1999-02-02 | 
          Applied Materials, Inc. | 
          High density plasma CVD reactor with combined inductive and capacitive coupling 
        | 
        
        
          | 
            
              US5384284A
              (en)
            
            
            
           | 
          1993-10-01 | 
          1995-01-24 | 
          Micron Semiconductor, Inc. | 
          Method to form a low resistant bond pad interconnect 
        | 
        
        
          | 
            
              SE501888C2
              (en)
            
            
            
           | 
          1993-10-18 | 
          1995-06-12 | 
          Ladislav Bardos | 
          
  A method and apparatus for generating a discharge in own vapor from a radio frequency electrode for continuous self-sputtering of the electrode
 
      | 
        
        
          | 
            
              US5505816A
              (en)
            
            
            
           | 
          1993-12-16 | 
          1996-04-09 | 
          International Business Machines Corporation | 
          Etching of silicon dioxide selectively to silicon nitride and polysilicon 
        | 
        
        
          | 
            
              US5415890A
              (en)
            
            
            
           | 
          1994-01-03 | 
          1995-05-16 | 
          Eaton Corporation | 
          Modular apparatus and method for surface treatment of parts with liquid baths 
        | 
        
        
          | 
            
              US5403434A
              (en)
            
            
            
           | 
          1994-01-06 | 
          1995-04-04 | 
          Texas Instruments Incorporated | 
          Low-temperature in-situ dry cleaning process for semiconductor wafer 
        | 
        
        
          | 
            
              JP3188363B2
              (en)
            
            
            
           | 
          1994-01-21 | 
          2001-07-16 | 
          エフエスアイ・インターナショナル・インコーポレーテッド | 
          
        Temperature controller using circulating coolant and temperature control method therefor
       
        | 
        
        
          | 
            
              US5399237A
              (en)
            
            
            
           | 
          1994-01-27 | 
          1995-03-21 | 
          Applied Materials, Inc. | 
          Etching titanium nitride using carbon-fluoride and carbon-oxide gas 
        | 
        
        
          | 
            
              US5451259A
              (en)
            
            
            
           | 
          1994-02-17 | 
          1995-09-19 | 
          Krogh; Ole D. | 
          ECR plasma source for remote processing 
        | 
        
        
          | 
            
              US5439553A
              (en)
            
            
            
           | 
          1994-03-30 | 
          1995-08-08 | 
          Penn State Research Foundation | 
          Controlled etching of oxides via gas phase reactions 
        | 
        
        
          | 
            
              EP0680072B1
              (en)
            
            
            
           | 
          1994-04-28 | 
          2003-10-08 | 
          Applied Materials, Inc. | 
          A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling 
        | 
        
        
          | 
            
              US5468342A
              (en)
            
            
            
           | 
          1994-04-28 | 
          1995-11-21 | 
          Cypress Semiconductor Corp. | 
          Method of etching an oxide layer 
        | 
        
        
          | 
            
              US6110838A
              (en)
            
            
            
           | 
          1994-04-29 | 
          2000-08-29 | 
          Texas Instruments Incorporated | 
          Isotropic polysilicon plus nitride stripping 
        | 
        
        
          | 
            
              US5531835A
              (en)
            
            
            
           | 
          1994-05-18 | 
          1996-07-02 | 
          Applied Materials, Inc. | 
          Patterned susceptor to reduce electrostatic force in a CVD chamber 
        | 
        
        
          | 
            
              US5628829A
              (en)
            
            
            
           | 
          1994-06-03 | 
          1997-05-13 | 
          Materials Research Corporation | 
          Method and apparatus for low temperature deposition of CVD and PECVD films 
        | 
        
        
          | 
            
              US5665640A
              (en)
            
            
            
           | 
          1994-06-03 | 
          1997-09-09 | 
          Sony Corporation | 
          Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor 
        | 
        
        
          | 
            
              US5580421A
              (en)
            
            
            
           | 
          1994-06-14 | 
          1996-12-03 | 
          Fsi International | 
          Apparatus for surface conditioning 
        | 
        
        
          | 
            
              US5767373A
              (en)
            
            
            
           | 
          1994-06-16 | 
          1998-06-16 | 
          Novartis Finance Corporation | 
          Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms 
        | 
        
        
          | 
            
              JP3501524B2
              (en)
            
            
            
           | 
          1994-07-01 | 
          2004-03-02 | 
          東京エレクトロン株式会社 | 
          
        Vacuum exhaust system for processing equipment
       
        | 
        
        
          | 
            
              JP3411678B2
              (en)
            
            
            
           | 
          1994-07-08 | 
          2003-06-03 | 
          東京エレクトロン株式会社 | 
          
        Processing equipment
       
        | 
        
        
          | 
            
              US5592358A
              (en)
            
            
            
           | 
          1994-07-18 | 
          1997-01-07 | 
          Applied Materials, Inc. | 
          Electrostatic chuck for magnetic flux processing 
        | 
        
        
          | 
            
              US5563105A
              (en)
            
            
            
           | 
          1994-09-30 | 
          1996-10-08 | 
          International Business Machines Corporation | 
          PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element 
        | 
        
        
          | 
            
              JPH08107101A
              (en)
            
            
            
           | 
          1994-10-03 | 
          1996-04-23 | 
          Fujitsu Ltd | 
          
        Plasma processing apparatus and plasma processing method
       
        | 
        
        
          | 
            
              TW344897B
              (en)
            
            
            
           | 
          1994-11-30 | 
          1998-11-11 | 
          At&T Tcorporation | 
          A process for forming gate oxides possessing different thicknesses on a semiconductor substrate 
      | 
        
        
          | 
            
              US5558717A
              (en)
            
            
            
           | 
          1994-11-30 | 
          1996-09-24 | 
          Applied Materials | 
          CVD Processing chamber 
        | 
        
        
          | 
            
              CN1053764C
              (en)
            
            
            
           | 
          1994-12-09 | 
          2000-06-21 | 
          中国科学院微电子中心 | 
          Beam caused electrographic technology 
        | 
        
        
          | 
            
              US5792376A
              (en)
            
            
            
           | 
          1995-01-06 | 
          1998-08-11 | 
          Kabushiki Kaisha Toshiba | 
          Plasma processing apparatus and plasma processing method 
        | 
        
        
          | 
            
              US5772770A
              (en)
            
            
            
           | 
          1995-01-27 | 
          1998-06-30 | 
          Kokusai Electric Co, Ltd. | 
          Substrate processing apparatus 
        | 
        
        
          | 
            
              JPH08279495A
              (en)
            
            
            
           | 
          1995-02-07 | 
          1996-10-22 | 
          Seiko Epson Corp | 
          
        Plasma processing apparatus and method
       
        | 
        
        
          | 
            
              US5571576A
              (en)
            
            
            
           | 
          1995-02-10 | 
          1996-11-05 | 
          Watkins-Johnson | 
          Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition 
        | 
        
        
          | 
            
              US5670066A
              (en)
            
            
            
           | 
          1995-03-17 | 
          1997-09-23 | 
          Lam Research Corporation | 
          Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated 
        | 
        
        
          | 
            
              US6039851A
              (en)
            
            
            
           | 
          1995-03-22 | 
          2000-03-21 | 
          Micron Technology, Inc. | 
          Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines 
        | 
        
        
          | 
            
              JPH08264510A
              (en)
            
            
            
           | 
          1995-03-27 | 
          1996-10-11 | 
          Toshiba Corp | 
          
        Silicon nitride film etching method and etching apparatus
       
        | 
        
        
          | 
            
              US5571577A
              (en)
            
            
            
           | 
          1995-04-07 | 
          1996-11-05 | 
          Board Of Trustees Operating Michigan State University | 
          Method and apparatus for plasma treatment of a surface 
        | 
        
        
          | 
            
              JP3270852B2
              (en)
            
            
            
           | 
          1995-04-20 | 
          2002-04-02 | 
          東京エレクトロン株式会社 | 
          
        Pressure adjusting device and room communication method using the same
       
        | 
        
        
          | 
            
              US20010028922A1
              (en)
            
            
            
           | 
          1995-06-07 | 
          2001-10-11 | 
          Sandhu Gurtej S. | 
          High throughput ILD fill process for high aspect ratio gap fill 
        | 
        
        
          | 
            
              TW323387B
              (en)
            
            
            
           | 
          1995-06-07 | 
          1997-12-21 | 
          Tokyo Electron Co Ltd | 
           | 
        
        
          | 
            
              JP3599204B2
              (en)
            
            
            
           | 
          1995-06-08 | 
          2004-12-08 | 
          アネルバ株式会社 | 
          
  CVD equipment
 
      | 
        
        
          | 
            
              JP2814370B2
              (en)
            
            
            
           | 
          1995-06-18 | 
          1998-10-22 | 
          東京エレクトロン株式会社 | 
          
  Plasma processing equipment
 
        | 
        
        
          | 
            
              US5997962A
              (en)
            
            
            
           | 
          1995-06-30 | 
          1999-12-07 | 
          Tokyo Electron Limited | 
          Plasma process utilizing an electrostatic chuck 
        | 
        
        
          | 
            
              US6197364B1
              (en)
            
            
            
           | 
          1995-08-22 | 
          2001-03-06 | 
          International Business Machines Corporation | 
          Production of electroless Co(P) with designed coercivity 
        | 
        
        
          | 
            
              US5755859A
              (en)
            
            
            
           | 
          1995-08-24 | 
          1998-05-26 | 
          International Business Machines Corporation | 
          Cobalt-tin alloys and their applications for devices, chip interconnections and packaging 
        | 
        
        
          | 
            
              US6053982A
              (en)
            
            
            
           | 
          1995-09-01 | 
          2000-04-25 | 
          Asm America, Inc. | 
          Wafer support system 
        | 
        
        
          | 
            
              US6228751B1
              (en)
            
            
            
           | 
          1995-09-08 | 
          2001-05-08 | 
          Semiconductor Energy Laboratory Co., Ltd. | 
          Method of manufacturing a semiconductor device 
        | 
        
        
          | 
            
              US5719085A
              (en)
            
            
            
           | 
          1995-09-29 | 
          1998-02-17 | 
          Intel Corporation | 
          Shallow trench isolation technique 
        | 
        
        
          | 
            
              US5716506A
              (en)
            
            
            
           | 
          1995-10-06 | 
          1998-02-10 | 
          Board Of Trustees Of The University Of Illinois | 
          Electrochemical sensors for gas detection 
        | 
        
        
          | 
            
              JPH09106898A
              (en)
            
            
            
           | 
          1995-10-09 | 
          1997-04-22 | 
          Anelva Corp | 
          
        Plasma CVD apparatus, plasma processing apparatus and plasma CVD method
       
        | 
        
        
          | 
            
              US5635086A
              (en)
            
            
            
           | 
          1995-10-10 | 
          1997-06-03 | 
          The Esab Group, Inc. | 
          Laser-plasma arc metal cutting apparatus 
        | 
        
        
          | 
            
              JPH09106899A
              (en)
            
            
            
           | 
          1995-10-11 | 
          1997-04-22 | 
          Anelva Corp | 
          
        Plasma CVD apparatus and method and dry etching apparatus and method
       
        | 
        
        
          | 
            
              US5814238A
              (en)
            
            
            
           | 
          1995-10-12 | 
          1998-09-29 | 
          Sandia Corporation | 
          Method for dry etching of transition metals 
        | 
        
        
          | 
            
              US5910340A
              (en)
            
            
            
           | 
          1995-10-23 | 
          1999-06-08 | 
          C. Uyemura & Co., Ltd. | 
          Electroless nickel plating solution and method 
        | 
        
        
          | 
            
              US6015724A
              (en)
            
            
            
           | 
          1995-11-02 | 
          2000-01-18 | 
          Semiconductor Energy Laboratory Co. | 
          Manufacturing method of a semiconductor device 
        | 
        
        
          | 
            
              US5599740A
              (en)
            
            
            
           | 
          1995-11-16 | 
          1997-02-04 | 
          Taiwan Semiconductor Manufacturing Company, Ltd. | 
          Deposit-etch-deposit ozone/teos insulator layer method 
        | 
        
        
          | 
            
              US5648125A
              (en)
            
            
            
           | 
          1995-11-16 | 
          1997-07-15 | 
          Cane; Frank N. | 
          Electroless plating process for the manufacture of printed circuit boards 
        | 
        
        
          | 
            
              US5846598A
              (en)
            
            
            
           | 
          1995-11-30 | 
          1998-12-08 | 
          International Business Machines Corporation | 
          Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating 
        | 
        
        
          | 
            
              US5756400A
              (en)
            
            
            
           | 
          1995-12-08 | 
          1998-05-26 | 
          Applied Materials, Inc. | 
          Method and apparatus for cleaning by-products from plasma chamber surfaces 
        | 
        
        
          | 
            
              US5733816A
              (en)
            
            
            
           | 
          1995-12-13 | 
          1998-03-31 | 
          Micron Technology, Inc. | 
          Method for depositing a tungsten layer on silicon 
        | 
        
        
          | 
            
              US6261637B1
              (en)
            
            
            
           | 
          1995-12-15 | 
          2001-07-17 | 
          Enthone-Omi, Inc. | 
          Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication 
        | 
        
        
          | 
            
              WO1997022733A1
              (en)
            
            
            
           | 
          1995-12-19 | 
          1997-06-26 | 
          Fsi International | 
          Electroless deposition of metal films with spray processor 
        | 
        
        
          | 
            
              US5883012A
              (en)
            
            
            
           | 
          1995-12-21 | 
          1999-03-16 | 
          Motorola, Inc. | 
          Method of etching a trench into a semiconductor substrate 
        | 
        
        
          | 
            
              WO1997024761A1
              (en)
            
            
            
           | 
          1995-12-27 | 
          1997-07-10 | 
          Lam Research Corporation | 
          Methods and apparatus for filling trenches in a semiconductor wafer 
        | 
        
        
          | 
            
              US5679606A
              (en)
            
            
            
           | 
          1995-12-27 | 
          1997-10-21 | 
          Taiwan Semiconductor Manufacturing Company, Ltd. | 
          method of forming inter-metal-dielectric structure 
        | 
        
        
          | 
            
              DE69636880T2
              (en)
            
            
            
           | 
          1995-12-28 | 
          2007-11-15 | 
          Taiyo Nippon Sanso Corporation | 
          
        Method and arrangement for transporting substrate disks
       
      | 
        
        
          | 
            
              US5824599A
              (en)
            
            
            
           | 
          1996-01-16 | 
          1998-10-20 | 
          Cornell Research Foundation, Inc. | 
          Protected encapsulation of catalytic layer for electroless copper interconnect 
        | 
        
        
          | 
            
              US5891513A
              (en)
            
            
            
           | 
          1996-01-16 | 
          1999-04-06 | 
          Cornell Research Foundation | 
          Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications 
        | 
        
        
          | 
            
              US5674787A
              (en)
            
            
            
           | 
          1996-01-16 | 
          1997-10-07 | 
          Sematech, Inc. | 
          Selective electroless copper deposited interconnect plugs for ULSI applications 
        | 
        
        
          | 
            
              US6036878A
              (en)
            
            
            
           | 
          1996-02-02 | 
          2000-03-14 | 
          Applied Materials, Inc. | 
          Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna 
        | 
        
        
          | 
            
              US5872052A
              (en)
            
            
            
           | 
          1996-02-12 | 
          1999-02-16 | 
          Micron Technology, Inc. | 
          Planarization using plasma oxidized amorphous silicon 
        | 
        
        
          | 
            
              US5648175A
              (en)
            
            
            
           | 
          1996-02-14 | 
          1997-07-15 | 
          Applied Materials, Inc. | 
          Chemical vapor deposition reactor system and integrated circuit 
        | 
        
        
          | 
            
              US6004884A
              (en)
            
            
            
           | 
          1996-02-15 | 
          1999-12-21 | 
          Lam Research Corporation | 
          Methods and apparatus for etching semiconductor wafers 
        | 
        
        
          | 
            
              TW335517B
              (en)
            
            
            
           | 
          1996-03-01 | 
          1998-07-01 | 
          Hitachi Ltd | 
          Apparatus and method for processing plasma 
      | 
        
        
          | 
            
              US5656093A
              (en)
            
            
            
           | 
          1996-03-08 | 
          1997-08-12 | 
          Applied Materials, Inc. | 
          Wafer spacing mask for a substrate support chuck and method of fabricating same 
        | 
        
        
          | 
            
              US6065425A
              (en)
            
            
            
           | 
          1996-03-25 | 
          2000-05-23 | 
          Canon Kabushiki Kaisha | 
          Plasma process apparatus and plasma process method 
        | 
        
        
          | 
            
              KR20000005011A
              (en)
            
            
            
           | 
          1996-03-25 | 
          2000-01-25 | 
          알만드 피. 뉴커만스 | 
          Apparatus and method for attaching an implantable hearing aid microactuator 
        | 
        
        
          | 
            
              US5858876A
              (en)
            
            
            
           | 
          1996-04-01 | 
          1999-01-12 | 
          Chartered Semiconductor Manufacturing, Ltd. | 
          Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer 
        | 
        
        
          | 
            
              US5712185A
              (en)
            
            
            
           | 
          1996-04-23 | 
          1998-01-27 | 
          United Microelectronics | 
          Method for forming shallow trench isolation 
        | 
        
        
          | 
            
              US5843847A
              (en)
            
            
            
           | 
          1996-04-29 | 
          1998-12-01 | 
          Applied Materials, Inc. | 
          Method for etching dielectric layers with high selectivity and low microloading 
        | 
        
        
          | 
            
              US6176667B1
              (en)
            
            
            
           | 
          1996-04-30 | 
          2001-01-23 | 
          Applied Materials, Inc. | 
          Multideck wafer processing system 
        | 
        
        
          | 
            
              KR100230981B1
              (en)
            
            
            
           | 
          1996-05-08 | 
          1999-11-15 | 
          김광호 | 
          
        Plasma Etching Method in Semiconductor Device Manufacturing Process
       
        | 
        
        
          | 
            
              US5660957A
              (en)
            
            
            
           | 
          1996-05-16 | 
          1997-08-26 | 
          Fujitsu Limited | 
          Electron-beam treatment procedure for patterned mask layers 
        | 
        
        
          | 
            
              US5820723A
              (en)
            
            
            
           | 
          1996-06-05 | 
          1998-10-13 | 
          Lam Research Corporation | 
          Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support 
        | 
        
        
          | 
            
              US5863376A
              (en)
            
            
            
           | 
          1996-06-05 | 
          1999-01-26 | 
          Lam Research Corporation | 
          Temperature controlling method and apparatus for a plasma processing chamber 
        | 
        
        
          | 
            
              US6048798A
              (en)
            
            
            
           | 
          1996-06-05 | 
          2000-04-11 | 
          Lam Research Corporation | 
          Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer 
        | 
        
        
          | 
            
              JPH1068094A
              (en)
            
            
            
           | 
          1996-06-13 | 
          1998-03-10 | 
          Samsung Electron Co Ltd | 
          
        Etching gas mixture for transition metal thin film and method of etching transition metal thin film using the same
       
        | 
        
        
          | 
            
              US5846373A
              (en)
            
            
            
           | 
          1996-06-28 | 
          1998-12-08 | 
          Lam Research Corporation | 
          Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber 
        | 
        
        
          | 
            
              US5993916A
              (en)
            
            
            
           | 
          1996-07-12 | 
          1999-11-30 | 
          Applied Materials, Inc. | 
          Method for substrate processing with improved throughput and yield 
        | 
        
        
          | 
            
              US5846332A
              (en)
            
            
            
           | 
          1996-07-12 | 
          1998-12-08 | 
          Applied Materials, Inc. | 
          Thermally floating pedestal collar in a chemical vapor deposition chamber 
        | 
        
        
          | 
            
              US6170428B1
              (en)
            
            
            
           | 
          1996-07-15 | 
          2001-01-09 | 
          Applied Materials, Inc. | 
          Symmetric tunable inductively coupled HDP-CVD reactor 
        | 
        
        
          | 
            
              US5781693A
              (en)
            
            
            
           | 
          1996-07-24 | 
          1998-07-14 | 
          Applied Materials, Inc. | 
          Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween 
        | 
        
        
          | 
            
              US5661093A
              (en)
            
            
            
           | 
          1996-09-12 | 
          1997-08-26 | 
          Applied Materials, Inc. | 
          Method for the stabilization of halogen-doped films through the use of multiple sealing layers 
        | 
        
        
          | 
            
              US5888906A
              (en)
            
            
            
           | 
          1996-09-16 | 
          1999-03-30 | 
          Micron Technology, Inc. | 
          Plasmaless dry contact cleaning method using interhalogen compounds 
        | 
        
        
          | 
            
              US5747373A
              (en)
            
            
            
           | 
          1996-09-24 | 
          1998-05-05 | 
          Taiwan Semiconductor Manufacturing Company Ltd. | 
          Nitride-oxide sidewall spacer for salicide formation 
        | 
        
        
          | 
            
              US5846375A
              (en)
            
            
            
           | 
          1996-09-26 | 
          1998-12-08 | 
          Micron Technology, Inc. | 
          Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment 
        | 
        
        
          | 
            
              US5835334A
              (en)
            
            
            
           | 
          1996-09-30 | 
          1998-11-10 | 
          Lam Research | 
          Variable high temperature chuck for high density plasma chemical vapor deposition 
        | 
        
        
          | 
            
              US5904827A
              (en)
            
            
            
           | 
          1996-10-15 | 
          1999-05-18 | 
          Reynolds Tech Fabricators, Inc. | 
          Plating cell with rotary wiper and megasonic transducer 
        | 
        
        
          | 
            
              US5951776A
              (en)
            
            
            
           | 
          1996-10-25 | 
          1999-09-14 | 
          Applied Materials, Inc. | 
          Self aligning lift mechanism 
        | 
        
        
          | 
            
              KR100237825B1
              (en)
            
            
            
           | 
          1996-11-05 | 
          2000-01-15 | 
          윤종용 | 
          
        Pedestal of Semiconductor Device Manufacturing Equipment
       
        | 
        
        
          | 
            
              US5804259A
              (en)
            
            
            
           | 
          1996-11-07 | 
          1998-09-08 | 
          Applied Materials, Inc. | 
          Method and apparatus for depositing a multilayered low dielectric constant film 
        | 
        
        
          | 
            
              US5812403A
              (en)
            
            
            
           | 
          1996-11-13 | 
          1998-09-22 | 
          Applied Materials, Inc. | 
          Methods and apparatus for cleaning surfaces in a substrate processing system 
        | 
        
        
          | 
            
              US5939831A
              (en)
            
            
            
           | 
          1996-11-13 | 
          1999-08-17 | 
          Applied Materials, Inc. | 
          Methods and apparatus for pre-stabilized plasma generation for microwave clean applications 
        | 
        
        
          | 
            
              US5935334A
              (en)
            
            
            
           | 
          1996-11-13 | 
          1999-08-10 | 
          Applied Materials, Inc. | 
          Substrate processing apparatus with bottom-mounted remote plasma system 
        | 
        
        
          | 
            
              US5882786A
              (en)
            
            
            
           | 
          1996-11-15 | 
          1999-03-16 | 
          C3, Inc. | 
          Gemstones formed of silicon carbide with diamond coating 
        | 
        
        
          | 
            
              US5855681A
              (en)
            
            
            
           | 
          1996-11-18 | 
          1999-01-05 | 
          Applied Materials, Inc. | 
          Ultra high throughput wafer vacuum processing system 
        | 
        
        
          | 
            
              US5830805A
              (en)
            
            
            
           | 
          1996-11-18 | 
          1998-11-03 | 
          Cornell Research Foundation | 
          Electroless deposition equipment or apparatus and method of performing electroless deposition 
        | 
        
        
          | 
            
              US5844195A
              (en)
            
            
            
           | 
          1996-11-18 | 
          1998-12-01 | 
          Applied Materials, Inc. | 
          Remote plasma source 
        | 
        
        
          | 
            
              US6152070A
              (en)
            
            
            
           | 
          1996-11-18 | 
          2000-11-28 | 
          Applied Materials, Inc. | 
          Tandem process chamber 
        | 
        
        
          | 
            
              US5695810A
              (en)
            
            
            
           | 
          1996-11-20 | 
          1997-12-09 | 
          Cornell Research Foundation, Inc. | 
          Use of cobalt tungsten phosphide as a barrier material for copper metallization 
        | 
        
        
          | 
            
              FR2756663B1
              (en)
            
            
            
           | 
          1996-12-04 | 
          1999-02-26 | 
          Berenguer Marc | 
          
  PROCESS FOR TREATING A SEMICONDUCTOR SUBSTRATE COMPRISING A SURFACE TREATMENT STEP
 
      | 
        
        
          | 
            
              US5951896A
              (en)
            
            
            
           | 
          1996-12-04 | 
          1999-09-14 | 
          Micro C Technologies, Inc. | 
          Rapid thermal processing heater technology and method of use 
        | 
        
        
          | 
            
              JPH10172792A
              (en)
            
            
            
           | 
          1996-12-05 | 
          1998-06-26 | 
          Tokyo Electron Ltd | 
          Plasma processing device 
        | 
        
        
          | 
            
              US6312554B1
              (en)
            
            
            
           | 
          1996-12-05 | 
          2001-11-06 | 
          Applied Materials, Inc. | 
          Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber 
        | 
        
        
          | 
            
              US5843538A
              (en)
            
            
            
           | 
          1996-12-09 | 
          1998-12-01 | 
          John L. Raymond | 
          Method for electroless nickel plating of metal substrates 
        | 
        
        
          | 
            
              US5948702A
              (en)
            
            
            
           | 
          1996-12-19 | 
          1999-09-07 | 
          Texas Instruments Incorporated | 
          Selective removal of TixNy 
        | 
        
        
          | 
            
              US5953635A
              (en)
            
            
            
           | 
          1996-12-19 | 
          1999-09-14 | 
          Intel Corporation | 
          Interlayer dielectric with a composite dielectric stack 
        | 
        
        
          | 
            
              US6120640A
              (en)
            
            
            
           | 
          1996-12-19 | 
          2000-09-19 | 
          Applied Materials, Inc. | 
          Boron carbide parts and coatings in a plasma reactor 
        | 
        
        
          | 
            
              KR100234539B1
              (en)
            
            
            
           | 
          1996-12-24 | 
          1999-12-15 | 
          윤종용 | 
          
        Etching Device for Semiconductor Device Manufacturing
       
        | 
        
        
          | 
            
              US5788825A
              (en)
            
            
            
           | 
          1996-12-30 | 
          1998-08-04 | 
          Samsung Electronics Co., Ltd. | 
          Vacuum pumping system for a sputtering device 
        | 
        
        
          | 
            
              US5955037A
              (en)
            
            
            
           | 
          1996-12-31 | 
          1999-09-21 | 
          Atmi Ecosys Corporation | 
          Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases 
        | 
        
        
          | 
            
              DE19700231C2
              (en)
            
            
            
           | 
          1997-01-07 | 
          2001-10-04 | 
          Geesthacht Gkss Forschung | 
          
  Device for filtering and separating flow media
 
        | 
        
        
          | 
            
              US5913147A
              (en)
            
            
            
           | 
          1997-01-21 | 
          1999-06-15 | 
          Advanced Micro Devices, Inc. | 
          Method for fabricating copper-aluminum metallization 
        | 
        
        
          | 
            
              US5882424A
              (en)
            
            
            
           | 
          1997-01-21 | 
          1999-03-16 | 
          Applied Materials, Inc. | 
          Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field 
        | 
        
        
          | 
            
              JPH10223608A
              (en)
            
            
            
           | 
          1997-02-04 | 
          1998-08-21 | 
          Sony Corp | 
          Manufacture of semiconductor device 
        | 
        
        
          | 
            
              US5800621A
              (en)
            
            
            
           | 
          1997-02-10 | 
          1998-09-01 | 
          Applied Materials, Inc. | 
          Plasma source for HDP-CVD chamber 
        | 
        
        
          | 
            
              US6035101A
              (en)
            
            
            
           | 
          1997-02-12 | 
          2000-03-07 | 
          Applied Materials, Inc. | 
          High temperature multi-layered alloy heater assembly and related methods 
        | 
        
        
          | 
            
              US6013584A
              (en)
            
            
            
           | 
          1997-02-19 | 
          2000-01-11 | 
          Applied Materials, Inc. | 
          Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications 
        | 
        
        
          | 
            
              US6479373B2
              (en)
            
            
            
           | 
          1997-02-20 | 
          2002-11-12 | 
          Infineon Technologies Ag | 
          Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases 
        | 
        
        
          | 
            
              US5990000A
              (en)
            
            
            
           | 
          1997-02-20 | 
          1999-11-23 | 
          Applied Materials, Inc. | 
          Method and apparatus for improving gap-fill capability using chemical and physical etchbacks 
        | 
        
        
          | 
            
              US6190233B1
              (en)
            
            
            
           | 
          1997-02-20 | 
          2001-02-20 | 
          Applied Materials, Inc. | 
          Method and apparatus for improving gap-fill capability using chemical and physical etchbacks 
        | 
        
        
          | 
            
              US6328803B2
              (en)
            
            
            
           | 
          1997-02-21 | 
          2001-12-11 | 
          Micron Technology, Inc. | 
          Method and apparatus for controlling rate of pressure change in a vacuum process chamber 
        | 
        
        
          | 
            
              US6059643A
              (en)
            
            
            
           | 
          1997-02-21 | 
          2000-05-09 | 
          Aplex, Inc. | 
          Apparatus and method for polishing a flat surface using a belted polishing pad 
        | 
        
        
          | 
            
              US5789300A
              (en)
            
            
            
           | 
          1997-02-25 | 
          1998-08-04 | 
          Advanced Micro Devices, Inc. | 
          Method of making IGFETs in densely and sparsely populated areas of a substrate 
        | 
        
        
          | 
            
              KR100295518B1
              (en)
            
            
            
           | 
          1997-02-25 | 
          2001-11-30 | 
          아끼구사 나오유끼 | 
          
        Etching method of silicon nitride layer and manufacturing method of semiconductor device
       
        | 
        
        
          | 
            
              TW418461B
              (en)
            
            
            
           | 
          1997-03-07 | 
          2001-01-11 | 
          Tokyo Electron Ltd | 
          Plasma etching device 
      | 
        
        
          | 
            
              US5850105A
              (en)
            
            
            
           | 
          1997-03-21 | 
          1998-12-15 | 
          Advanced Micro Devices, Inc. | 
          Substantially planar semiconductor topography using dielectrics and chemical mechanical polish 
        | 
        
        
          | 
            
              TW376547B
              (en)
            
            
            
           | 
          1997-03-27 | 
          1999-12-11 | 
          Matsushita Electric Industrial Co Ltd | 
          Method and apparatus for plasma processing 
      | 
        
        
          | 
            
              US5786276A
              (en)
            
            
            
           | 
          1997-03-31 | 
          1998-07-28 | 
          Applied Materials, Inc. | 
          Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2 
        | 
        
        
          | 
            
              US6017414A
              (en)
            
            
            
           | 
          1997-03-31 | 
          2000-01-25 | 
          Lam Research Corporation | 
          Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers 
        | 
        
        
          | 
            
              US6030666A
              (en)
            
            
            
           | 
          1997-03-31 | 
          2000-02-29 | 
          Lam Research Corporation | 
          Method for microwave plasma substrate heating 
        | 
        
        
          | 
            
              JPH10284360A
              (en)
            
            
            
           | 
          1997-04-02 | 
          1998-10-23 | 
          Hitachi Ltd | 
          
        Substrate temperature control device and method
       
        | 
        
        
          | 
            
              US5968610A
              (en)
            
            
            
           | 
          1997-04-02 | 
          1999-10-19 | 
          United Microelectronics Corp. | 
          Multi-step high density plasma chemical vapor deposition process 
        | 
        
        
          | 
            
              US5866483A
              (en)
            
            
            
           | 
          1997-04-04 | 
          1999-02-02 | 
          Applied Materials, Inc. | 
          Method for anisotropically etching tungsten using SF6, CHF3, and N2 
        | 
        
        
          | 
            
              US6174450B1
              (en)
            
            
            
           | 
          1997-04-16 | 
          2001-01-16 | 
          Lam Research Corporation | 
          Methods and apparatus for controlling ion energy and plasma density in a plasma processing system 
        | 
        
        
          | 
            
              US6149828A
              (en)
            
            
            
           | 
          1997-05-05 | 
          2000-11-21 | 
          Micron Technology, Inc. | 
          Supercritical etching compositions and method of using same 
        | 
        
        
          | 
            
              US6204200B1
              (en)
            
            
            
           | 
          1997-05-05 | 
          2001-03-20 | 
          Texas Instruments Incorporated | 
          Process scheme to form controlled airgaps between interconnect lines to reduce capacitance 
        | 
        
        
          | 
            
              US5969422A
              (en)
            
            
            
           | 
          1997-05-15 | 
          1999-10-19 | 
          Advanced Micro Devices, Inc. | 
          Plated copper interconnect structure 
        | 
        
        
          | 
            
              US6083344A
              (en)
            
            
            
           | 
          1997-05-29 | 
          2000-07-04 | 
          Applied Materials, Inc. | 
          Multi-zone RF inductively coupled source configuration 
        | 
        
        
          | 
            
              US6189483B1
              (en)
            
            
            
           | 
          1997-05-29 | 
          2001-02-20 | 
          Applied Materials, Inc. | 
          Process kit 
        | 
        
        
          | 
            
              US6136685A
              (en)
            
            
            
           | 
          1997-06-03 | 
          2000-10-24 | 
          Applied Materials, Inc. | 
          High deposition rate recipe for low dielectric constant films 
        | 
        
        
          | 
            
              US5937323A
              (en)
            
            
            
           | 
          1997-06-03 | 
          1999-08-10 | 
          Applied Materials, Inc. | 
          Sequencing of the recipe steps for the optimal low-k HDP-CVD processing 
        | 
        
        
          | 
            
              US6706334B1
              (en)
            
            
            
           | 
          1997-06-04 | 
          2004-03-16 | 
          Tokyo Electron Limited | 
          Processing method and apparatus for removing oxide film 
        | 
        
        
          | 
            
              US5872058A
              (en)
            
            
            
           | 
          1997-06-17 | 
          1999-02-16 | 
          Novellus Systems, Inc. | 
          High aspect ratio gapfill process by using HDP 
        | 
        
        
          | 
            
              US5885749A
              (en)
            
            
            
           | 
          1997-06-20 | 
          1999-03-23 | 
          Clear Logic, Inc. | 
          Method of customizing integrated circuits by selective secondary deposition of layer interconnect material 
        | 
        
        
          | 
            
              US5933757A
              (en)
            
            
            
           | 
          1997-06-23 | 
          1999-08-03 | 
          Lsi Logic Corporation | 
          Etch process selective to cobalt silicide for formation of integrated circuit structures 
        | 
        
        
          | 
            
              US6150628A
              (en)
            
            
            
           | 
          1997-06-26 | 
          2000-11-21 | 
          Applied Science And Technology, Inc. | 
          Toroidal low-field reactive gas source 
        | 
        
        
          | 
            
              US6388226B1
              (en)
            
            
            
           | 
          1997-06-26 | 
          2002-05-14 | 
          Applied Science And Technology, Inc. | 
          Toroidal low-field reactive gas source 
        | 
        
        
          | 
            
              US6518155B1
              (en)
            
            
            
           | 
          1997-06-30 | 
          2003-02-11 | 
          Intel Corporation | 
          Device structure and method for reducing silicide encroachment 
        | 
        
        
          | 
            
              US6184121B1
              (en)
            
            
            
           | 
          1997-07-10 | 
          2001-02-06 | 
          International Business Machines Corporation | 
          Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same 
        | 
        
        
          | 
            
              US5944049A
              (en)
            
            
            
           | 
          1997-07-15 | 
          1999-08-31 | 
          Applied Materials, Inc. | 
          Apparatus and method for regulating a pressure in a chamber 
        | 
        
        
          | 
            
              JPH1136076A
              (en)
            
            
            
           | 
          1997-07-16 | 
          1999-02-09 | 
          Tokyo Electron Ltd | 
          Cvd deposition apparatus and cvd deposition method 
        | 
        
        
          | 
            
              US5814365A
              (en)
            
            
            
           | 
          1997-08-15 | 
          1998-09-29 | 
          Micro C Technologies, Inc. | 
          Reactor and method of processing a semiconductor substate 
        | 
        
        
          | 
            
              US6090212A
              (en)
            
            
            
           | 
          1997-08-15 | 
          2000-07-18 | 
          Micro C Technologies, Inc. | 
          Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate 
        | 
        
        
          | 
            
              US6007635A
              (en)
            
            
            
           | 
          1997-11-26 | 
          1999-12-28 | 
          Micro C Technologies, Inc. | 
          Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing 
        | 
        
        
          | 
            
              US5926737A
              (en)
            
            
            
           | 
          1997-08-19 | 
          1999-07-20 | 
          Tokyo Electron Limited | 
          Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing 
        | 
        
        
          | 
            
              US6258170B1
              (en)
            
            
            
           | 
          1997-09-11 | 
          2001-07-10 | 
          Applied Materials, Inc. | 
          Vaporization and deposition apparatus 
        | 
        
        
          | 
            
              US6063688A
              (en)
            
            
            
           | 
          1997-09-29 | 
          2000-05-16 | 
          Intel Corporation | 
          Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition 
        | 
        
        
          | 
            
              US6364957B1
              (en)
            
            
            
           | 
          1997-10-09 | 
          2002-04-02 | 
          Applied Materials, Inc. | 
          Support assembly with thermal expansion compensation 
        | 
        
        
          | 
            
              US6688375B1
              (en)
            
            
            
           | 
          1997-10-14 | 
          2004-02-10 | 
          Applied Materials, Inc. | 
          Vacuum processing system having improved substrate heating and cooling 
        | 
        
        
          | 
            
              GB9722028D0
              (en)
            
            
            
           | 
          1997-10-17 | 
          1997-12-17 | 
          Shipley Company Ll C | 
          Plating of polymers 
      | 
        
        
          | 
            
              US6379575B1
              (en)
            
            
            
           | 
          1997-10-21 | 
          2002-04-30 | 
          Applied Materials, Inc. | 
          Treatment of etching chambers using activated cleaning gas 
        | 
        
        
          | 
            
              US6136693A
              (en)
            
            
            
           | 
          1997-10-27 | 
          2000-10-24 | 
          Chartered Semiconductor Manufacturing Ltd. | 
          Method for planarized interconnect vias using electroless plating and CMP 
        | 
        
        
          | 
            
              US6013191A
              (en)
            
            
            
           | 
          1997-10-27 | 
          2000-01-11 | 
          Advanced Refractory Technologies, Inc. | 
          Method of polishing CVD diamond films by oxygen plasma 
        | 
        
        
          | 
            
              US6063712A
              (en)
            
            
            
           | 
          1997-11-25 | 
          2000-05-16 | 
          Micron Technology, Inc. | 
          Oxide etch and method of etching 
        | 
        
        
          | 
            
              US5849639A
              (en)
            
            
            
           | 
          1997-11-26 | 
          1998-12-15 | 
          Lucent Technologies Inc. | 
          Method for removing etching residues and contaminants 
        | 
        
        
          | 
            
              US6136165A
              (en)
            
            
            
           | 
          1997-11-26 | 
          2000-10-24 | 
          Cvc Products, Inc. | 
          Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition 
        | 
        
        
          | 
            
              US6077780A
              (en)
            
            
            
           | 
          1997-12-03 | 
          2000-06-20 | 
          Advanced Micro Devices, Inc. | 
          Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure 
        | 
        
        
          | 
            
              US6143476A
              (en)
            
            
            
           | 
          1997-12-12 | 
          2000-11-07 | 
          Applied Materials Inc | 
          Method for high temperature etching of patterned layers using an organic mask stack 
        | 
        
        
          | 
            
              US5976327A
              (en)
            
            
            
           | 
          1997-12-12 | 
          1999-11-02 | 
          Applied Materials, Inc. | 
          Step coverage and overhang improvement by pedestal bias voltage modulation 
        | 
        
        
          | 
            
              US6083844A
              (en)
            
            
            
           | 
          1997-12-22 | 
          2000-07-04 | 
          Lam Research Corporation | 
          Techniques for etching an oxide layer 
        | 
        
        
          | 
            
              US6415858B1
              (en)
            
            
            
           | 
          1997-12-31 | 
          2002-07-09 | 
          Temptronic Corporation | 
          Temperature control system for a workpiece chuck 
        | 
        
        
          | 
            
              US6406759B1
              (en)
            
            
            
           | 
          1998-01-08 | 
          2002-06-18 | 
          The University Of Tennessee Research Corporation | 
          Remote exposure of workpieces using a recirculated plasma 
        | 
        
        
          | 
            
              US6140234A
              (en)
            
            
            
           | 
          1998-01-20 | 
          2000-10-31 | 
          International Business Machines Corporation | 
          Method to selectively fill recesses with conductive metal 
        | 
        
        
          | 
            
              US6635578B1
              (en)
            
            
            
           | 
          1998-02-09 | 
          2003-10-21 | 
          Applied Materials, Inc | 
          Method of operating a dual chamber reactor with neutral density decoupled from ion density 
        | 
        
        
          | 
            
              US5932077A
              (en)
            
            
            
           | 
          1998-02-09 | 
          1999-08-03 | 
          Reynolds Tech Fabricators, Inc. | 
          Plating cell with horizontal product load mechanism 
        | 
        
        
          | 
            
              US6054379A
              (en)
            
            
            
           | 
          1998-02-11 | 
          2000-04-25 | 
          Applied Materials, Inc. | 
          Method of depositing a low k dielectric with organo silane 
        | 
        
        
          | 
            
              US6340435B1
              (en)
            
            
            
           | 
          1998-02-11 | 
          2002-01-22 | 
          Applied Materials, Inc. | 
          Integrated low K dielectrics and etch stops 
        | 
        
        
          | 
            
              US6186091B1
              (en)
            
            
            
           | 
          1998-02-11 | 
          2001-02-13 | 
          Silicon Genesis Corporation | 
          Shielded platen design for plasma immersion ion implantation 
        | 
        
        
          | 
            
              US6627532B1
              (en)
            
            
            
           | 
          1998-02-11 | 
          2003-09-30 | 
          Applied Materials, Inc. | 
          Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition 
        | 
        
        
          | 
            
              US6197688B1
              (en)
            
            
            
           | 
          1998-02-12 | 
          2001-03-06 | 
          Motorola Inc. | 
          Interconnect structure in a semiconductor device and method of formation 
        | 
        
        
          | 
            
              US6171661B1
              (en)
            
            
            
           | 
          1998-02-25 | 
          2001-01-09 | 
          Applied Materials, Inc. | 
          Deposition of copper with increased adhesion 
        | 
        
        
          | 
            
              JP4151862B2
              (en)
            
            
            
           | 
          1998-02-26 | 
          2008-09-17 | 
          キヤノンアネルバ株式会社 | 
          
        CVD equipment
       
      | 
        
        
          | 
            
              US6892669B2
              (en)
            
            
            
           | 
          1998-02-26 | 
          2005-05-17 | 
          Anelva Corporation | 
          CVD apparatus 
        | 
        
        
          | 
            
              US6551939B2
              (en)
            
            
            
           | 
          1998-03-17 | 
          2003-04-22 | 
          Anneal Corporation | 
          Plasma surface treatment method and resulting device 
        | 
        
        
          | 
            
              US5920792A
              (en)
            
            
            
           | 
          1998-03-19 | 
          1999-07-06 | 
          Winbond Electronics Corp | 
          High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers 
        | 
        
        
          | 
            
              US6565729B2
              (en)
            
            
            
           | 
          1998-03-20 | 
          2003-05-20 | 
          Semitool, Inc. | 
          Method for electrochemically depositing metal on a semiconductor workpiece 
        | 
        
        
          | 
            
              US6194038B1
              (en)
            
            
            
           | 
          1998-03-20 | 
          2001-02-27 | 
          Applied Materials, Inc. | 
          Method for deposition of a conformal layer on a substrate 
        | 
        
        
          | 
            
              US6197181B1
              (en)
            
            
            
           | 
          1998-03-20 | 
          2001-03-06 | 
          Semitool, Inc. | 
          Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece 
        | 
        
        
          | 
            
              US6602434B1
              (en)
            
            
            
           | 
          1998-03-27 | 
          2003-08-05 | 
          Applied Materials, Inc. | 
          Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window 
        | 
        
        
          | 
            
              US6203657B1
              (en)
            
            
            
           | 
          1998-03-31 | 
          2001-03-20 | 
          Lam Research Corporation | 
          Inductively coupled plasma downstream strip module 
        | 
        
        
          | 
            
              US6395150B1
              (en)
            
            
            
           | 
          1998-04-01 | 
          2002-05-28 | 
          Novellus Systems, Inc. | 
          Very high aspect ratio gapfill using HDP 
        | 
        
        
          | 
            
              EP1070346A1
              (en)
            
            
            
           | 
          1998-04-02 | 
          2001-01-24 | 
          Applied Materials, Inc. | 
          Method for etching low k dielectrics 
        | 
        
        
          | 
            
              US6198616B1
              (en)
            
            
            
           | 
          1998-04-03 | 
          2001-03-06 | 
          Applied Materials, Inc. | 
          Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system 
        | 
        
        
          | 
            
              US6117245A
              (en)
            
            
            
           | 
          1998-04-08 | 
          2000-09-12 | 
          Applied Materials, Inc. | 
          Method and apparatus for controlling cooling and heating fluids for a gas distribution plate 
        | 
        
        
          | 
            
              US6416647B1
              (en)
            
            
            
           | 
          1998-04-21 | 
          2002-07-09 | 
          Applied Materials, Inc. | 
          Electro-chemical deposition cell for face-up processing of single semiconductor substrates 
        | 
        
        
          | 
            
              US6113771A
              (en)
            
            
            
           | 
          1998-04-21 | 
          2000-09-05 | 
          Applied Materials, Inc. | 
          Electro deposition chemistry 
        | 
        
        
          | 
            
              US6179924B1
              (en)
            
            
            
           | 
          1998-04-28 | 
          2001-01-30 | 
          Applied Materials, Inc. | 
          Heater for use in substrate processing apparatus to deposit tungsten 
        | 
        
        
          | 
            
              US6093594A
              (en)
            
            
            
           | 
          1998-04-29 | 
          2000-07-25 | 
          Advanced Micro Devices, Inc. | 
          CMOS optimization method utilizing sacrificial sidewall spacer 
        | 
        
        
          | 
            
              US6081414A
              (en)
            
            
            
           | 
          1998-05-01 | 
          2000-06-27 | 
          Applied Materials, Inc. | 
          Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system 
        | 
        
        
          | 
            
              US6030881A
              (en)
            
            
            
           | 
          1998-05-05 | 
          2000-02-29 | 
          Novellus Systems, Inc. | 
          High throughput chemical vapor deposition process capable of filling high aspect ratio structures 
        | 
        
        
          | 
            
              US6218288B1
              (en)
            
            
            
           | 
          1998-05-11 | 
          2001-04-17 | 
          Micron Technology, Inc. | 
          Multiple step methods for forming conformal layers 
        | 
        
        
          | 
            
              US6007785A
              (en)
            
            
            
           | 
          1998-05-20 | 
          1999-12-28 | 
          Academia Sinica | 
          Apparatus for efficient ozone generation 
        | 
        
        
          | 
            
              US6086677A
              (en)
            
            
            
           | 
          1998-06-16 | 
          2000-07-11 | 
          Applied Materials, Inc. | 
          Dual gas faceplate for a showerhead in a semiconductor wafer processing system 
        | 
        
        
          | 
            
              KR100296137B1
              (en)
            
            
            
           | 
          1998-06-16 | 
          2001-08-07 | 
          박종섭 | 
          method for fabricating semiconductor device having HDP-CVD oxide layer as passivation layer 
        | 
        
        
          | 
            
              US6147009A
              (en)
            
            
            
           | 
          1998-06-29 | 
          2000-11-14 | 
          International Business Machines Corporation | 
          Hydrogenated oxidized silicon carbon material 
        | 
        
        
          | 
            
              US6562128B1
              (en)
            
            
            
           | 
          2001-11-28 | 
          2003-05-13 | 
          Seh America, Inc. | 
          In-situ post epitaxial treatment process 
        | 
        
        
          | 
            
              DE69929607T2
              (en)
            
            
            
           | 
          1998-06-30 | 
          2006-07-27 | 
          Semitool, Inc., Kalispell | 
          
        METALIZATION STRUCTURES FOR MICROELECTRONIC APPLICATIONS AND METHOD FOR PRODUCING THESE STRUCTURES
       
      | 
        
        
          | 
            
              US6037018A
              (en)
            
            
            
           | 
          1998-07-01 | 
          2000-03-14 | 
          Taiwan Semiconductor Maufacturing Company | 
          Shallow trench isolation filled by high density plasma chemical vapor deposition 
        | 
        
        
          | 
            
              US6248429B1
              (en)
            
            
            
           | 
          1998-07-06 | 
          2001-06-19 | 
          Micron Technology, Inc. | 
          Metallized recess in a substrate 
        | 
        
        
          | 
            
              JP2000026975A
              (en)
            
            
            
           | 
          1998-07-09 | 
          2000-01-25 | 
          Komatsu Ltd | 
          
        Surface treatment equipment
       
        | 
        
        
          | 
            
              KR100265866B1
              (en)
            
            
            
           | 
          1998-07-11 | 
          2000-12-01 | 
          황철주 | 
          Apparatus for manufacturing semiconductor device 
        | 
        
        
          | 
            
              US6063683A
              (en)
            
            
            
           | 
          1998-07-27 | 
          2000-05-16 | 
          Acer Semiconductor Manufacturing, Inc. | 
          Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells 
        | 
        
        
          | 
            
              US6436816B1
              (en)
            
            
            
           | 
          1998-07-31 | 
          2002-08-20 | 
          Industrial Technology Research Institute | 
          Method of electroless plating copper on nitride barrier 
        | 
        
        
          | 
            
              US6383951B1
              (en)
            
            
            
           | 
          1998-09-03 | 
          2002-05-07 | 
          Micron Technology, Inc. | 
          Low dielectric constant material for integrated circuit fabrication 
        | 
        
        
          | 
            
              US6440863B1
              (en)
            
            
            
           | 
          1998-09-04 | 
          2002-08-27 | 
          Taiwan Semiconductor Manufacturing Company | 
          Plasma etch method for forming patterned oxygen containing plasma etchable layer 
        | 
        
        
          | 
            
              US6165912A
              (en)
            
            
            
           | 
          1998-09-17 | 
          2000-12-26 | 
          Cfmt, Inc. | 
          Electroless metal deposition of electronic components in an enclosable vessel 
        | 
        
        
          | 
            
              US6037266A
              (en)
            
            
            
           | 
          1998-09-28 | 
          2000-03-14 | 
          Taiwan Semiconductor Manufacturing Company | 
          Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher 
        | 
        
        
          | 
            
              JP3725708B2
              (en)
            
            
            
           | 
          1998-09-29 | 
          2005-12-14 | 
          株式会社東芝 | 
          
        Semiconductor device
       
      | 
        
        
          | 
            
              US6277733B1
              (en)
            
            
            
           | 
          1998-10-05 | 
          2001-08-21 | 
          Texas Instruments Incorporated | 
          Oxygen-free, dry plasma process for polymer removal 
        | 
        
        
          | 
            
              JP3764594B2
              (en)
            
            
            
           | 
          1998-10-12 | 
          2006-04-12 | 
          株式会社日立製作所 | 
          
        Plasma processing method
       
      | 
        
        
          | 
            
              US6180523B1
              (en)
            
            
            
           | 
          1998-10-13 | 
          2001-01-30 | 
          Industrial Technology Research Institute | 
          Copper metallization of USLI by electroless process 
        | 
        
        
          | 
            
              US6228758B1
              (en)
            
            
            
           | 
          1998-10-14 | 
          2001-05-08 | 
          Advanced Micro Devices, Inc. | 
          Method of making dual damascene conductive interconnections and integrated circuit device comprising same 
        | 
        
        
          | 
            
              US6251802B1
              (en)
            
            
            
           | 
          1998-10-19 | 
          2001-06-26 | 
          Micron Technology, Inc. | 
          Methods of forming carbon-containing layers 
        | 
        
        
          | 
            
              US6107199A
              (en)
            
            
            
           | 
          1998-10-24 | 
          2000-08-22 | 
          International Business Machines Corporation | 
          Method for improving the morphology of refractory metal thin films 
        | 
        
        
          | 
            
              JP3064268B2
              (en)
            
            
            
           | 
          1998-10-29 | 
          2000-07-12 | 
          アプライド  マテリアルズ  インコーポレイテッド | 
          
        Film forming method and apparatus
       
        | 
        
        
          | 
            
              US6176198B1
              (en)
            
            
            
           | 
          1998-11-02 | 
          2001-01-23 | 
          Applied Materials, Inc. | 
          Apparatus and method for depositing low K dielectric materials 
        | 
        
        
          | 
            
              US6462371B1
              (en)
            
            
            
           | 
          1998-11-24 | 
          2002-10-08 | 
          Micron Technology Inc. | 
          Films doped with carbon for use in integrated circuit technology 
        | 
        
        
          | 
            
              US6203863B1
              (en)
            
            
            
           | 
          1998-11-27 | 
          2001-03-20 | 
          United Microelectronics Corp. | 
          Method of gap filling 
        | 
        
        
          | 
            
              US6258220B1
              (en)
            
            
            
           | 
          1998-11-30 | 
          2001-07-10 | 
          Applied Materials, Inc. | 
          Electro-chemical deposition system 
        | 
        
        
          | 
            
              US6251236B1
              (en)
            
            
            
           | 
          1998-11-30 | 
          2001-06-26 | 
          Applied Materials, Inc. | 
          Cathode contact ring for electrochemical deposition 
        | 
        
        
          | 
            
              US6228233B1
              (en)
            
            
            
           | 
          1998-11-30 | 
          2001-05-08 | 
          Applied Materials, Inc. | 
          Inflatable compliant bladder assembly 
        | 
        
        
          | 
            
              US6015747A
              (en)
            
            
            
           | 
          1998-12-07 | 
          2000-01-18 | 
          Advanced Micro Device | 
          Method of metal/polysilicon gate formation in a field effect transistor 
        | 
        
        
          | 
            
              US6242349B1
              (en)
            
            
            
           | 
          1998-12-09 | 
          2001-06-05 | 
          Advanced Micro Devices, Inc. | 
          Method of forming copper/copper alloy interconnection with reduced electromigration 
        | 
        
        
          | 
            
              US6364954B2
              (en)
            
            
            
           | 
          1998-12-14 | 
          2002-04-02 | 
          Applied Materials, Inc. | 
          High temperature chemical vapor deposition chamber 
        | 
        
        
          | 
            
              EP1014434B1
              (en)
            
            
            
           | 
          1998-12-24 | 
          2008-03-26 | 
          ATMEL Germany GmbH | 
          Method for anisotropically plasma dry-etching a silicon nitride layer with a gas mixture containing fluorine 
        | 
        
        
          | 
            
              DE19901210A1
              (en)
            
            
            
           | 
          1999-01-14 | 
          2000-07-27 | 
          Siemens Ag | 
          
        Semiconductor component and method for its production
       
      | 
        
        
          | 
            
              US6499425B1
              (en)
            
            
            
           | 
          1999-01-22 | 
          2002-12-31 | 
          Micron Technology, Inc. | 
          Quasi-remote plasma processing method and apparatus 
        | 
        
        
          | 
            
              TW428256B
              (en)
            
            
            
           | 
          1999-01-25 | 
          2001-04-01 | 
          United Microelectronics Corp | 
          Structure of conducting-wire layer and its fabricating method 
      | 
        
        
          | 
            
              JP3330554B2
              (en)
            
            
            
           | 
          1999-01-27 | 
          2002-09-30 | 
          松下電器産業株式会社 | 
          
        Etching method
       
        | 
        
        
          | 
            
              US6245669B1
              (en)
            
            
            
           | 
          1999-02-05 | 
          2001-06-12 | 
          Taiwan Semiconductor Manufacturing Company | 
          High selectivity Si-rich SiON etch-stop layer 
        | 
        
        
          | 
            
              US6010962A
              (en)
            
            
            
           | 
          1999-02-12 | 
          2000-01-04 | 
          Taiwan Semiconductor Manufacturing Company | 
          Copper chemical-mechanical-polishing (CMP) dishing 
        | 
        
        
          | 
            
              US6245670B1
              (en)
            
            
            
           | 
          1999-02-19 | 
          2001-06-12 | 
          Advanced Micro Devices, Inc. | 
          Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure 
        | 
        
        
          | 
            
              TW469534B
              (en)
            
            
            
           | 
          1999-02-23 | 
          2001-12-21 | 
          Matsushita Electric Industrial Co Ltd | 
          Plasma processing method and apparatus 
      | 
        
        
          | 
            
              US6291282B1
              (en)
            
            
            
           | 
          1999-02-26 | 
          2001-09-18 | 
          Texas Instruments Incorporated | 
          Method of forming dual metal gate structures or CMOS devices 
        | 
        
        
          | 
            
              US6136163A
              (en)
            
            
            
           | 
          1999-03-05 | 
          2000-10-24 | 
          Applied Materials, Inc. | 
          Apparatus for electro-chemical deposition with thermal anneal chamber 
        | 
        
        
          | 
            
              US6312995B1
              (en)
            
            
            
           | 
          1999-03-08 | 
          2001-11-06 | 
          Advanced Micro Devices, Inc. | 
          MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration 
        | 
        
        
          | 
            
              US6197705B1
              (en)
            
            
            
           | 
          1999-03-18 | 
          2001-03-06 | 
          Chartered Semiconductor Manufacturing Ltd. | 
          Method of silicon oxide and silicon glass films deposition 
        | 
        
        
          | 
            
              US6797189B2
              (en)
            
            
            
           | 
          1999-03-25 | 
          2004-09-28 | 
          Hoiman (Raymond) Hung | 
          Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon 
        | 
        
        
          | 
            
              US6238582B1
              (en)
            
            
            
           | 
          1999-03-30 | 
          2001-05-29 | 
          Veeco Instruments, Inc. | 
          Reactive ion beam etching method and a thin film head fabricated using the method 
        | 
        
        
          | 
            
              US6144099A
              (en)
            
            
            
           | 
          1999-03-30 | 
          2000-11-07 | 
          Advanced Micro Devices, Inc. | 
          Semiconductor metalization barrier 
        | 
        
        
          | 
            
              JP2000290777A
              (en)
            
            
            
           | 
          1999-04-07 | 
          2000-10-17 | 
          Tokyo Electron Ltd | 
          Gas treating device, buffle member, and gas treating method 
        | 
        
        
          | 
            
              US6263830B1
              (en)
            
            
            
           | 
          1999-04-12 | 
          2001-07-24 | 
          Matrix Integrated Systems, Inc. | 
          Microwave choke for remote plasma generator 
        | 
        
        
          | 
            
              US6099697A
              (en)
            
            
            
           | 
          1999-04-13 | 
          2000-08-08 | 
          Applied Materials, Inc. | 
          Method of and apparatus for restoring a support surface in a semiconductor wafer processing system 
        | 
        
        
          | 
            
              US6110836A
              (en)
            
            
            
           | 
          1999-04-22 | 
          2000-08-29 | 
          Applied Materials, Inc. | 
          Reactive plasma etch cleaning of high aspect ratio openings 
        | 
        
        
          | 
            
              US6110832A
              (en)
            
            
            
           | 
          1999-04-28 | 
          2000-08-29 | 
          International Business Machines Corporation | 
          Method and apparatus for slurry polishing 
        | 
        
        
          | 
            
              US6541671B1
              (en)
            
            
            
           | 
          2002-02-13 | 
          2003-04-01 | 
          The Regents Of The University Of California | 
          Synthesis of 2H- and 13C-substituted dithanes 
        | 
        
        
          | 
            
              JP3099066B1
              (en)
            
            
            
           | 
          1999-05-07 | 
          2000-10-16 | 
          東京工業大学長 | 
          
        Manufacturing method of thin film structure
       
        | 
        
        
          | 
            
              JP3482904B2
              (en)
            
            
            
           | 
          1999-05-10 | 
          2004-01-06 | 
          松下電器産業株式会社 | 
          
        Plasma processing method and apparatus
       
        | 
        
        
          | 
            
              US7091605B2
              (en)
            
            
            
           | 
          2001-09-21 | 
          2006-08-15 | 
          Eastman Kodak Company | 
          Highly moisture-sensitive electronic device element and method for fabrication 
        | 
        
        
          | 
            
              US6129829A
              (en)
            
            
            
           | 
          1999-05-14 | 
          2000-10-10 | 
          Thompson; Donald E. | 
          Electrostatic filter for dielectric fluid 
        | 
        
        
          | 
            
              EP1198610A4
              (en)
            
            
            
           | 
          1999-05-14 | 
          2004-04-07 | 
          Univ California | 
          
        PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES
       
        | 
        
        
          | 
            
              JP2000331993A
              (en)
            
            
            
           | 
          1999-05-19 | 
          2000-11-30 | 
          Mitsubishi Electric Corp | 
          
        Plasma processing equipment
       
        | 
        
        
          | 
            
              US6323128B1
              (en)
            
            
            
           | 
          1999-05-26 | 
          2001-11-27 | 
          International Business Machines Corporation | 
          Method for forming Co-W-P-Au films 
        | 
        
        
          | 
            
              JP3320685B2
              (en)
            
            
            
           | 
          1999-06-02 | 
          2002-09-03 | 
          株式会社半導体先端テクノロジーズ | 
          
        Fine pattern forming method
       
        | 
        
        
          | 
            
              US6916399B1
              (en)
            
            
            
           | 
          1999-06-03 | 
          2005-07-12 | 
          Applied Materials Inc | 
          Temperature controlled window with a fluid supply system 
        | 
        
        
          | 
            
              US6565661B1
              (en)
            
            
            
           | 
          1999-06-04 | 
          2003-05-20 | 
          Simplus Systems Corporation | 
          High flow conductance and high thermal conductance showerhead system and method 
        | 
        
        
          | 
            
              US6174812B1
              (en)
            
            
            
           | 
          1999-06-08 | 
          2001-01-16 | 
          United Microelectronics Corp. | 
          Copper damascene technology for ultra large scale integration circuits 
        | 
        
        
          | 
            
              US20020033233A1
              (en)
            
            
            
           | 
          1999-06-08 | 
          2002-03-21 | 
          Stephen E. Savas | 
          Icp reactor having a conically-shaped plasma-generating section 
      | 
        
        
          | 
            
              US6367413B1
              (en)
            
            
            
           | 
          1999-06-15 | 
          2002-04-09 | 
          Tokyo Electron Limited | 
          Apparatus for monitoring substrate biasing during plasma processing of a substrate 
        | 
        
        
          | 
            
              US6821571B2
              (en)
            
            
            
           | 
          1999-06-18 | 
          2004-11-23 | 
          Applied Materials Inc. | 
          Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers 
        | 
        
        
          | 
            
              US6161576A
              (en)
            
            
            
           | 
          1999-06-23 | 
          2000-12-19 | 
          Mks Instruments, Inc. | 
          Integrated turbo pump and control valve system 
        | 
        
        
          | 
            
              US6110530A
              (en)
            
            
            
           | 
          1999-06-25 | 
          2000-08-29 | 
          Applied Materials, Inc. | 
          CVD method of depositing copper films by using improved organocopper precursor blend 
        | 
        
        
          | 
            
              FR2795555B1
              (en)
            
            
            
           | 
          1999-06-28 | 
          2002-12-13 | 
          France Telecom | 
          
        METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE INCLUDING AN ALTERNATIVELY STACKED LAYER OF SILICON AND LAYERS OF DIELECTRIC MATERIAL
       
      | 
        
        
          | 
            
              US6277752B1
              (en)
            
            
            
           | 
          1999-06-28 | 
          2001-08-21 | 
          Taiwan Semiconductor Manufacturing Company | 
          Multiple etch method for forming residue free patterned hard mask layer 
        | 
        
        
          | 
            
              US6415736B1
              (en)
            
            
            
           | 
          1999-06-30 | 
          2002-07-09 | 
          Lam Research Corporation | 
          Gas distribution apparatus for semiconductor processing 
        | 
        
        
          | 
            
              US6245192B1
              (en)
            
            
            
           | 
          1999-06-30 | 
          2001-06-12 | 
          Lam Research Corporation | 
          Gas distribution apparatus for semiconductor processing 
        | 
        
        
          | 
            
              US6352081B1
              (en)
            
            
            
           | 
          1999-07-09 | 
          2002-03-05 | 
          Applied Materials, Inc. | 
          Method of cleaning a semiconductor device processing chamber after a copper etch process 
        | 
        
        
          | 
            
              US6516815B1
              (en)
            
            
            
           | 
          1999-07-09 | 
          2003-02-11 | 
          Applied Materials, Inc. | 
          Edge bead removal/spin rinse dry (EBR/SRD) module 
        | 
        
        
          | 
            
              US6258223B1
              (en)
            
            
            
           | 
          1999-07-09 | 
          2001-07-10 | 
          Applied Materials, Inc. | 
          In-situ electroless copper seed layer enhancement in an electroplating system 
        | 
        
        
          | 
            
              US6351013B1
              (en)
            
            
            
           | 
          1999-07-13 | 
          2002-02-26 | 
          Advanced Micro Devices, Inc. | 
          Low-K sub spacer pocket formation for gate capacitance reduction 
        | 
        
        
          | 
            
              US6342733B1
              (en)
            
            
            
           | 
          1999-07-27 | 
          2002-01-29 | 
          International Business Machines Corporation | 
          Reduced electromigration and stressed induced migration of Cu wires by surface coating 
        | 
        
        
          | 
            
              US6281135B1
              (en)
            
            
            
           | 
          1999-08-05 | 
          2001-08-28 | 
          Axcelis Technologies, Inc. | 
          Oxygen free plasma stripping process 
        | 
        
        
          | 
            
              US6237527B1
              (en)
            
            
            
           | 
          1999-08-06 | 
          2001-05-29 | 
          Axcelis Technologies, Inc. | 
          System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate 
        | 
        
        
          | 
            
              US6235643B1
              (en)
            
            
            
           | 
          1999-08-10 | 
          2001-05-22 | 
          Applied Materials, Inc. | 
          Method for etching a trench having rounded top and bottom corners in a silicon substrate 
        | 
        
        
          | 
            
              KR100750420B1
              (en)
            
            
            
           | 
          1999-08-17 | 
          2007-08-21 | 
          동경 엘렉트론 주식회사 | 
          
        Plasma assisted process execution method and plasma assisted process execution reactor
       
        | 
        
        
          | 
            
              JP4220075B2
              (en)
            
            
            
           | 
          1999-08-20 | 
          2009-02-04 | 
          東京エレクトロン株式会社 | 
          
  Film forming method and film forming apparatus
 
      | 
        
        
          | 
            
              US6322716B1
              (en)
            
            
            
           | 
          1999-08-30 | 
          2001-11-27 | 
          Cypress Semiconductor Corp. | 
          Method for conditioning a plasma etch chamber 
        | 
        
        
          | 
            
              US6375748B1
              (en)
            
            
            
           | 
          1999-09-01 | 
          2002-04-23 | 
          Applied Materials, Inc. | 
          Method and apparatus for preventing edge deposition 
        | 
        
        
          | 
            
              US6441492B1
              (en)
            
            
            
           | 
          1999-09-10 | 
          2002-08-27 | 
          James A. Cunningham | 
          Diffusion barriers for copper interconnect systems 
        | 
        
        
          | 
            
              US6548414B2
              (en)
            
            
            
           | 
          1999-09-14 | 
          2003-04-15 | 
          Infineon Technologies Ag | 
          Method of plasma etching thin films of difficult to dry etch materials 
        | 
        
        
          | 
            
              JP3514186B2
              (en)
            
            
            
           | 
          1999-09-16 | 
          2004-03-31 | 
          日新電機株式会社 | 
          
        Thin film forming method and apparatus
       
        | 
        
        
          | 
            
              US6503843B1
              (en)
            
            
            
           | 
          1999-09-21 | 
          2003-01-07 | 
          Applied Materials, Inc. | 
          Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill 
        | 
        
        
          | 
            
              US6432819B1
              (en)
            
            
            
           | 
          1999-09-27 | 
          2002-08-13 | 
          Applied Materials, Inc. | 
          Method and apparatus of forming a sputtered doped seed layer 
        | 
        
        
          | 
            
              US6287643B1
              (en)
            
            
            
           | 
          1999-09-30 | 
          2001-09-11 | 
          Novellus Systems, Inc. | 
          Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor 
        | 
        
        
          | 
            
              US6153935A
              (en)
            
            
            
           | 
          1999-09-30 | 
          2000-11-28 | 
          International Business Machines Corporation | 
          Dual etch stop/diffusion barrier for damascene interconnects 
        | 
        
        
          | 
            
              US6321587B1
              (en)
            
            
            
           | 
          1999-10-15 | 
          2001-11-27 | 
          Radian International Llc | 
          Solid state fluorine sensor system and method 
        | 
        
        
          | 
            
              US6423284B1
              (en)
            
            
            
           | 
          1999-10-18 | 
          2002-07-23 | 
          Advanced Technology Materials, Inc. | 
          Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases 
        | 
        
        
          | 
            
              US6364949B1
              (en)
            
            
            
           | 
          1999-10-19 | 
          2002-04-02 | 
          Applied Materials, Inc. | 
          300 mm CVD chamber design for metal-organic thin film deposition 
        | 
        
        
          | 
            
              KR100338768B1
              (en)
            
            
            
           | 
          1999-10-25 | 
          2002-05-30 | 
          윤종용 | 
          Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer 
        | 
        
        
          | 
            
              DE29919142U1
              (en)
            
            
            
           | 
          1999-10-30 | 
          2001-03-08 | 
          Agrodyn Hochspannungstechnik GmbH, 33803 Steinhagen | 
          
  Plasma nozzle
 
      | 
        
        
          | 
            
              US6551924B1
              (en)
            
            
            
           | 
          1999-11-02 | 
          2003-04-22 | 
          International Business Machines Corporation | 
          Post metalization chem-mech polishing dielectric etch 
        | 
        
        
          | 
            
              JP3366301B2
              (en)
            
            
            
           | 
          1999-11-10 | 
          2003-01-14 | 
          日本電気株式会社 | 
          
        Plasma CVD equipment
       
        | 
        
        
          | 
            
              US6162302A
              (en)
            
            
            
           | 
          1999-11-16 | 
          2000-12-19 | 
          Agilent Technologies | 
          Method of cleaning quartz substrates using conductive solutions 
        | 
        
        
          | 
            
              US8114245B2
              (en)
            
            
            
           | 
          1999-11-26 | 
          2012-02-14 | 
          Tadahiro Ohmi | 
          Plasma etching device 
        | 
        
        
          | 
            
              US6599842B2
              (en)
            
            
            
           | 
          1999-11-29 | 
          2003-07-29 | 
          Applied Materials, Inc. | 
          Method for rounding corners and removing damaged outer surfaces of a trench 
        | 
        
        
          | 
            
              WO2001040537A1
              (en)
            
            
            
           | 
          1999-11-30 | 
          2001-06-07 | 
          The Regents Of The University Of California | 
          Method for producing fluorinated diamond-like carbon films 
        | 
        
        
          | 
            
              US6342453B1
              (en)
            
            
            
           | 
          1999-12-03 | 
          2002-01-29 | 
          Applied Materials, Inc. | 
          Method for CVD process control for enhancing device performance 
        | 
        
        
          | 
            
              DE10060002B4
              (en)
            
            
            
           | 
          1999-12-07 | 
          2016-01-28 | 
          Komatsu Ltd. | 
          
  Device for surface treatment
 
        | 
        
        
          | 
            
              KR20010062209A
              (en)
            
            
            
           | 
          1999-12-10 | 
          2001-07-07 | 
          히가시 데쓰로 | 
          Processing apparatus with a chamber having therein a high-etching resistant sprayed film 
        | 
        
        
          | 
            
              JP4695238B2
              (en)
            
            
            
           | 
          1999-12-14 | 
          2011-06-08 | 
          東京エレクトロン株式会社 | 
          
        Pressure control method
       
      | 
        
        
          | 
            
              US6277763B1
              (en)
            
            
            
           | 
          1999-12-16 | 
          2001-08-21 | 
          Applied Materials, Inc. | 
          Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen 
        | 
        
        
          | 
            
              WO2001046492A1
              (en)
            
            
            
           | 
          1999-12-22 | 
          2001-06-28 | 
          Tokyo Electron Limited | 
          Method and system for reducing damage to substrates during plasma processing with a resonator source 
        | 
        
        
          | 
            
              US6534809B2
              (en)
            
            
            
           | 
          1999-12-22 | 
          2003-03-18 | 
          Agilent Technologies, Inc. | 
          Hardmask designs for dry etching FeRAM capacitor stacks 
        | 
        
        
          | 
            
              US6350697B1
              (en)
            
            
            
           | 
          1999-12-22 | 
          2002-02-26 | 
          Lam Research Corporation | 
          Method of cleaning and conditioning plasma reaction chamber 
        | 
        
        
          | 
            
              US6238513B1
              (en)
            
            
            
           | 
          1999-12-28 | 
          2001-05-29 | 
          International Business Machines Corporation | 
          Wafer lift assembly 
        | 
        
        
          | 
            
              KR100767762B1
              (en)
            
            
            
           | 
          2000-01-18 | 
          2007-10-17 | 
          에이에스엠 저펜 가부시기가이샤 | 
          A CVD semiconductor-processing device provided with a remote plasma source for self cleaning 
        | 
        
        
          | 
            
              US6772827B2
              (en)
            
            
            
           | 
          2000-01-20 | 
          2004-08-10 | 
          Applied Materials, Inc. | 
          Suspended gas distribution manifold for plasma chamber 
        | 
        
        
          | 
            
              US6477980B1
              (en)
            
            
            
           | 
          2000-01-20 | 
          2002-11-12 | 
          Applied Materials, Inc. | 
          Flexibly suspended gas distribution manifold for plasma chamber 
        | 
        
        
          | 
            
              US6656831B1
              (en)
            
            
            
           | 
          2000-01-26 | 
          2003-12-02 | 
          Applied Materials, Inc. | 
          Plasma-enhanced chemical vapor deposition of a metal nitride layer 
        | 
        
        
          | 
            
              US6494959B1
              (en)
            
            
            
           | 
          2000-01-28 | 
          2002-12-17 | 
          Applied Materials, Inc. | 
          Process and apparatus for cleaning a silicon surface 
        | 
        
        
          | 
            
              JP3723712B2
              (en)
            
            
            
           | 
          2000-02-10 | 
          2005-12-07 | 
          株式会社日立国際電気 | 
          
        Substrate processing apparatus and substrate processing method
       
      | 
        
        
          | 
            
              US6743473B1
              (en)
            
            
            
           | 
          2000-02-16 | 
          2004-06-01 | 
          Applied Materials, Inc. | 
          Chemical vapor deposition of barriers from novel precursors 
        | 
        
        
          | 
            
              US6573030B1
              (en)
            
            
            
           | 
          2000-02-17 | 
          2003-06-03 | 
          Applied Materials, Inc. | 
          Method for depositing an amorphous carbon layer 
        | 
        
        
          | 
            
              US6319766B1
              (en)
            
            
            
           | 
          2000-02-22 | 
          2001-11-20 | 
          Applied Materials, Inc. | 
          Method of tantalum nitride deposition by tantalum oxide densification 
        | 
        
        
          | 
            
              US6350320B1
              (en)
            
            
            
           | 
          2000-02-22 | 
          2002-02-26 | 
          Applied Materials, Inc. | 
          Heater for processing chamber 
        | 
        
        
          | 
            
              US6391788B1
              (en)
            
            
            
           | 
          2000-02-25 | 
          2002-05-21 | 
          Applied Materials, Inc. | 
          Two etchant etch method 
        | 
        
        
          | 
            
              US6958098B2
              (en)
            
            
            
           | 
          2000-02-28 | 
          2005-10-25 | 
          Applied Materials, Inc. | 
          Semiconductor wafer support lift-pin assembly 
        | 
        
        
          | 
            
              JP2001319885A
              (en)
            
            
            
           | 
          2000-03-02 | 
          2001-11-16 | 
          Hitachi Kokusai Electric Inc | 
          
        Substrate processing apparatus and semiconductor manufacturing method
       
        | 
        
        
          | 
            
              JP3979791B2
              (en)
            
            
            
           | 
          2000-03-08 | 
          2007-09-19 | 
          株式会社ルネサステクノロジ | 
          
  Semiconductor device and manufacturing method thereof
 
      | 
        
        
          | 
            
              US6537707B1
              (en)
            
            
            
           | 
          2000-03-15 | 
          2003-03-25 | 
          Agilent Technologies, Inc. | 
          Two-stage roughing and controlled deposition rates for fabricating laser ablation masks 
        | 
        
        
          | 
            
              US6528751B1
              (en)
            
            
            
           | 
          2000-03-17 | 
          2003-03-04 | 
          Applied Materials, Inc. | 
          Plasma reactor with overhead RF electrode tuned to the plasma 
        | 
        
        
          | 
            
              US6900596B2
              (en)
            
            
            
           | 
          2002-07-09 | 
          2005-05-31 | 
          Applied Materials, Inc. | 
          Capacitively coupled plasma reactor with uniform radial distribution of plasma 
        | 
        
        
          | 
            
              US6527968B1
              (en)
            
            
            
           | 
          2000-03-27 | 
          2003-03-04 | 
          Applied Materials Inc. | 
          Two-stage self-cleaning silicon etch process 
        | 
        
        
          | 
            
              JP3433721B2
              (en)
            
            
            
           | 
          2000-03-28 | 
          2003-08-04 | 
          ティーディーケイ株式会社 | 
          
        Dry etching method and fine processing method
       
        | 
        
        
          | 
            
              JP4056195B2
              (en)
            
            
            
           | 
          2000-03-30 | 
          2008-03-05 | 
          株式会社ルネサステクノロジ | 
          
        Manufacturing method of semiconductor integrated circuit device
       
      | 
        
        
          | 
            
              AU2001247685A1
              (en)
            
            
            
           | 
          2000-03-30 | 
          2001-10-15 | 
          Tokyo Electron Limited | 
          Method of and apparatus for tunable gas injection in a plasma processing system 
      | 
        
        
          | 
            
              DE10016340C1
              (en)
            
            
            
           | 
          2000-03-31 | 
          2001-12-06 | 
          Promos Technologies Inc | 
          Fabrication of deep trench in semiconductor substrate during e.g., fabrication of deep-trench type capacitor utilizes plasma etching composition comprising hydrogen bromide, nitrogen fluoride, chlorine gas, and helium/oxygen gas mixture 
        | 
        
        
          | 
            
              US6558564B1
              (en)
            
            
            
           | 
          2000-04-05 | 
          2003-05-06 | 
          Applied Materials Inc. | 
          Plasma energy control by inducing plasma instability 
        | 
        
        
          | 
            
              JP2001355074A
              (en)
            
            
            
           | 
          2000-04-10 | 
          2001-12-25 | 
          Sony Corp | 
          
        Electroless plating method and apparatus
       
        | 
        
        
          | 
            
              US7892974B2
              (en)
            
            
            
           | 
          2000-04-11 | 
          2011-02-22 | 
          Cree, Inc. | 
          Method of forming vias in silicon carbide and resulting devices and circuits 
        | 
        
        
          | 
            
              US6762129B2
              (en)
            
            
            
           | 
          2000-04-19 | 
          2004-07-13 | 
          Matsushita Electric Industrial Co., Ltd. | 
          Dry etching method, fabrication method for semiconductor device, and dry etching apparatus 
        | 
        
        
          | 
            
              JP2001308023A
              (en)
            
            
            
           | 
          2000-04-21 | 
          2001-11-02 | 
          Tokyo Electron Ltd | 
          
        Heat treatment apparatus and method
       
        | 
        
        
          | 
            
              US6458718B1
              (en)
            
            
            
           | 
          2000-04-28 | 
          2002-10-01 | 
          Asm Japan K.K. | 
          Fluorine-containing materials and processes 
        | 
        
        
          | 
            
              US6387207B1
              (en)
            
            
            
           | 
          2000-04-28 | 
          2002-05-14 | 
          Applied Materials, Inc. | 
          Integration of remote plasma generator with semiconductor processing chamber 
        | 
        
        
          | 
            
              JP2001313282A
              (en)
            
            
            
           | 
          2000-04-28 | 
          2001-11-09 | 
          Nec Corp | 
          Method of dry etching 
        | 
        
        
          | 
            
              KR100367662B1
              (en)
            
            
            
           | 
          2000-05-02 | 
          2003-01-10 | 
          주식회사 셈테크놀러지 | 
          Hyperthermal Neutral Particle Beam Source and Neutral Particle Beam Processing Apparatus Employing the Same 
        | 
        
        
          | 
            
              JP3662472B2
              (en)
            
            
            
           | 
          2000-05-09 | 
          2005-06-22 | 
          エム・エフエスアイ株式会社 | 
          
  Substrate surface treatment method
 
      | 
        
        
          | 
            
              EP1435654A3
              (en)
            
            
            
           | 
          2000-05-10 | 
          2004-07-14 | 
          Ibiden Co., Ltd. | 
          Electrostatic chuck 
        | 
        
        
          | 
            
              US6679981B1
              (en)
            
            
            
           | 
          2000-05-11 | 
          2004-01-20 | 
          Applied Materials, Inc. | 
          Inductive plasma loop enhancing magnetron sputtering 
        | 
        
        
          | 
            
              KR100638916B1
              (en)
            
            
            
           | 
          2000-05-17 | 
          2006-10-25 | 
          동경 엘렉트론 주식회사 | 
          
        Processing unit and its maintenance method
       
        | 
        
        
          | 
            
              US6418874B1
              (en)
            
            
            
           | 
          2000-05-25 | 
          2002-07-16 | 
          Applied Materials, Inc. | 
          Toroidal plasma source for plasma processing 
        | 
        
        
          | 
            
              JP3448737B2
              (en)
            
            
            
           | 
          2000-05-25 | 
          2003-09-22 | 
          住友重機械工業株式会社 | 
          
        Wafer chuck cooling plate and wafer chuck
       
        | 
        
        
          | 
            
              JP2002194547A
              (en)
            
            
            
           | 
          2000-06-08 | 
          2002-07-10 | 
          Applied Materials Inc | 
          
        Method for depositing amorphous carbon layer
       
        | 
        
        
          | 
            
              KR20010111058A
              (en)
            
            
            
           | 
          2000-06-09 | 
          2001-12-15 | 
          조셉 제이. 스위니 | 
          Full area temperature controlled electrostatic chuck and method of fabricating same 
        | 
        
        
          | 
            
              US6603269B1
              (en)
            
            
            
           | 
          2000-06-13 | 
          2003-08-05 | 
          Applied Materials, Inc. | 
          Resonant chamber applicator for remote plasma source 
        | 
        
        
          | 
            
              US6509623B2
              (en)
            
            
            
           | 
          2000-06-15 | 
          2003-01-21 | 
          Newport Fab, Llc | 
          Microelectronic air-gap structures and methods of forming the same 
        | 
        
        
          | 
            
              US6391753B1
              (en)
            
            
            
           | 
          2000-06-20 | 
          2002-05-21 | 
          Advanced Micro Devices, Inc. | 
          Process for forming gate conductors 
        | 
        
        
          | 
            
              US6645550B1
              (en)
            
            
            
           | 
          2000-06-22 | 
          2003-11-11 | 
          Applied Materials, Inc. | 
          Method of treating a substrate 
        | 
        
        
          | 
            
              US6427623B2
              (en)
            
            
            
           | 
          2000-06-23 | 
          2002-08-06 | 
          Anelva Corporation | 
          Chemical vapor deposition system 
        | 
        
        
          | 
            
              US6620723B1
              (en)
            
            
            
           | 
          2000-06-27 | 
          2003-09-16 | 
          Applied Materials, Inc. | 
          Formation of boride barrier layers using chemisorption techniques 
        | 
        
        
          | 
            
              JP4371543B2
              (en)
            
            
            
           | 
          2000-06-29 | 
          2009-11-25 | 
          日本電気株式会社 | 
          
        Remote plasma CVD apparatus and film forming method
       
      | 
        
        
          | 
            
              US6303418B1
              (en)
            
            
            
           | 
          2000-06-30 | 
          2001-10-16 | 
          Chartered Semiconductor Manufacturing Ltd. | 
          Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer 
        | 
        
        
          | 
            
              DE10032607B4
              (en)
            
            
            
           | 
          2000-07-07 | 
          2004-08-12 | 
          Leo Elektronenmikroskopie Gmbh | 
          
  Particle beam device with a particle source to be operated in ultra-high vacuum and a cascade-shaped pump arrangement for such a particle beam device
 
        | 
        
        
          | 
            
              US6440870B1
              (en)
            
            
            
           | 
          2000-07-12 | 
          2002-08-27 | 
          Applied Materials, Inc. | 
          Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures 
        | 
        
        
          | 
            
              US6794311B2
              (en)
            
            
            
           | 
          2000-07-14 | 
          2004-09-21 | 
          Applied Materials Inc. | 
          Method and apparatus for treating low k dielectric layers to reduce diffusion 
        | 
        
        
          | 
            
              KR100366623B1
              (en)
            
            
            
           | 
          2000-07-18 | 
          2003-01-09 | 
          삼성전자 주식회사 | 
          Method for cleaning semiconductor substrate or LCD substrate 
        | 
        
        
          | 
            
              US6764958B1
              (en)
            
            
            
           | 
          2000-07-28 | 
          2004-07-20 | 
          Applied Materials Inc. | 
          Method of depositing dielectric films 
        | 
        
        
          | 
            
              US6939434B2
              (en)
            
            
            
           | 
          2000-08-11 | 
          2005-09-06 | 
          Applied Materials, Inc. | 
          Externally excited torroidal plasma source with magnetic control of ion distribution 
        | 
        
        
          | 
            
              US6677242B1
              (en)
            
            
            
           | 
          2000-08-12 | 
          2004-01-13 | 
          Applied Materials Inc. | 
          Integrated shallow trench isolation approach 
        | 
        
        
          | 
            
              US6800830B2
              (en)
            
            
            
           | 
          2000-08-18 | 
          2004-10-05 | 
          Hitachi Kokusai Electric, Inc. | 
          Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication 
        | 
        
        
          | 
            
              US6446572B1
              (en)
            
            
            
           | 
          2000-08-18 | 
          2002-09-10 | 
          Tokyo Electron Limited | 
          Embedded plasma source for plasma density improvement 
        | 
        
        
          | 
            
              US6335288B1
              (en)
            
            
            
           | 
          2000-08-24 | 
          2002-01-01 | 
          Applied Materials, Inc. | 
          Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD 
        | 
        
        
          | 
            
              US6459066B1
              (en)
            
            
            
           | 
          2000-08-25 | 
          2002-10-01 | 
          Board Of Regents, The University Of Texas System | 
          Transmission line based inductively coupled plasma source with stable impedance 
        | 
        
        
          | 
            
              US6372657B1
              (en)
            
            
            
           | 
          2000-08-31 | 
          2002-04-16 | 
          Micron Technology, Inc. | 
          Method for selective etching of oxides 
        | 
        
        
          | 
            
              JP4484345B2
              (en)
            
            
            
           | 
          2000-09-11 | 
          2010-06-16 | 
          東京エレクトロン株式会社 | 
          
  Semiconductor device and manufacturing method thereof
 
      | 
        
        
          | 
            
              US6465366B1
              (en)
            
            
            
           | 
          2000-09-12 | 
          2002-10-15 | 
          Applied Materials, Inc. | 
          Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers 
        | 
        
        
          | 
            
              JP4717295B2
              (en)
            
            
            
           | 
          2000-10-04 | 
          2011-07-06 | 
          株式会社半導体エネルギー研究所 | 
          
        Dry etching apparatus and etching method
       
      | 
        
        
          | 
            
              US6461974B1
              (en)
            
            
            
           | 
          2000-10-06 | 
          2002-10-08 | 
          Lam Research Corporation | 
          High temperature tungsten etching process 
        | 
        
        
          | 
            
              DK200001497A
              (en)
            
            
            
           | 
          2000-10-08 | 
          2002-04-09 | 
          Scanavo As | 
          
  Storage device for a data carrier
 
      | 
        
        
          | 
            
              KR100375102B1
              (en)
            
            
            
           | 
          2000-10-18 | 
          2003-03-08 | 
          삼성전자주식회사 | 
          Method for CVD and apparatus for performing the same in semiconductor device processing 
        | 
        
        
          | 
            
              US6403491B1
              (en)
            
            
            
           | 
          2000-11-01 | 
          2002-06-11 | 
          Applied Materials, Inc. | 
          Etch method using a dielectric etch chamber with expanded process window 
        | 
        
        
          | 
            
              US6610362B1
              (en)
            
            
            
           | 
          2000-11-20 | 
          2003-08-26 | 
          Intel Corporation | 
          Method of forming a carbon doped oxide layer on a substrate 
        | 
        
        
          | 
            
              KR100382725B1
              (en)
            
            
            
           | 
          2000-11-24 | 
          2003-05-09 | 
          삼성전자주식회사 | 
          Method of manufacturing semiconductor device in the clustered plasma apparatus 
        | 
        
        
          | 
            
              US6291348B1
              (en)
            
            
            
           | 
          2000-11-30 | 
          2001-09-18 | 
          Advanced Micro Devices, Inc. | 
          Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed 
        | 
        
        
          | 
            
              AUPR179500A0
              (en)
            
            
            
           | 
          2000-11-30 | 
          2000-12-21 | 
          Saintech Pty Limited | 
          Ion source 
      | 
        
        
          | 
            
              US6544340B2
              (en)
            
            
            
           | 
          2000-12-08 | 
          2003-04-08 | 
          Applied Materials, Inc. | 
          Heater with detachable ceramic top plate 
        | 
        
        
          | 
            
              US6448537B1
              (en)
            
            
            
           | 
          2000-12-11 | 
          2002-09-10 | 
          Eric Anton Nering | 
          Single-wafer process chamber thermal convection processes 
        | 
        
        
          | 
            
              US6461972B1
              (en)
            
            
            
           | 
          2000-12-22 | 
          2002-10-08 | 
          Lsi Logic Corporation | 
          Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow 
        | 
        
        
          | 
            
              US6537429B2
              (en)
            
            
            
           | 
          2000-12-29 | 
          2003-03-25 | 
          Lam Research Corporation | 
          Diamond coatings on reactor wall and method of manufacturing thereof 
        | 
        
        
          | 
            
              US6533910B2
              (en)
            
            
            
           | 
          2000-12-29 | 
          2003-03-18 | 
          Lam Research Corporation | 
          Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof 
        | 
        
        
          | 
            
              US6500772B2
              (en)
            
            
            
           | 
          2001-01-08 | 
          2002-12-31 | 
          International Business Machines Corporation | 
          Methods and materials for depositing films on semiconductor substrates 
        | 
        
        
          | 
            
              US20020124867A1
              (en)
            
            
            
           | 
          2001-01-08 | 
          2002-09-12 | 
          Apl Co., Ltd. | 
          Apparatus and method for surface cleaning using plasma 
        | 
        
        
          | 
            
              FR2819341B1
              (en)
            
            
            
           | 
          2001-01-11 | 
          2003-06-27 | 
          St Microelectronics Sa | 
          
  METHOD FOR INTEGRATING A DRAM CELL
 
      | 
        
        
          | 
            
              US6879981B2
              (en)
            
            
            
           | 
          2001-01-16 | 
          2005-04-12 | 
          Corigin Ltd. | 
          Sharing live data with a non cooperative DBMS 
        | 
        
        
          | 
            
              US6849854B2
              (en)
            
            
            
           | 
          2001-01-18 | 
          2005-02-01 | 
          Saintech Pty Ltd. | 
          Ion source 
        | 
        
        
          | 
            
              JP4644943B2
              (en)
            
            
            
           | 
          2001-01-23 | 
          2011-03-09 | 
          東京エレクトロン株式会社 | 
          
        Processing equipment
       
      | 
        
        
          | 
            
              US6743732B1
              (en)
            
            
            
           | 
          2001-01-26 | 
          2004-06-01 | 
          Taiwan Semiconductor Manufacturing Company | 
          Organic low K dielectric etch with NH3 chemistry 
        | 
        
        
          | 
            
              US6893969B2
              (en)
            
            
            
           | 
          2001-02-12 | 
          2005-05-17 | 
          Lam Research Corporation | 
          Use of ammonia for etching organic low-k dielectrics 
        | 
        
        
          | 
            
              US6537733B2
              (en)
            
            
            
           | 
          2001-02-23 | 
          2003-03-25 | 
          Applied Materials, Inc. | 
          Method of depositing low dielectric constant silicon carbide layers 
        | 
        
        
          | 
            
              US6878206B2
              (en)
            
            
            
           | 
          2001-07-16 | 
          2005-04-12 | 
          Applied Materials, Inc. | 
          Lid assembly for a processing system to facilitate sequential deposition techniques 
        | 
        
        
          | 
            
              US6348407B1
              (en)
            
            
            
           | 
          2001-03-15 | 
          2002-02-19 | 
          Chartered Semiconductor Manufacturing Inc. | 
          Method to improve adhesion of organic dielectrics in dual damascene interconnects 
        | 
        
        
          | 
            
              JP3924483B2
              (en)
            
            
            
           | 
          2001-03-19 | 
          2007-06-06 | 
          アイピーエス  リミテッド | 
          
  Chemical vapor deposition equipment
 
      | 
        
        
          | 
            
              KR100423953B1
              (en)
            
            
            
           | 
          2001-03-19 | 
          2004-03-24 | 
          디지웨이브 테크놀러지스 주식회사 | 
          Chemical Vapor Deposition Apparatus 
        | 
        
        
          | 
            
              JP5013353B2
              (en)
            
            
            
           | 
          2001-03-28 | 
          2012-08-29 | 
          隆 杉野 | 
          
        Film forming method and film forming apparatus
       
      | 
        
        
          | 
            
              US6670278B2
              (en)
            
            
            
           | 
          2001-03-30 | 
          2003-12-30 | 
          Lam Research Corporation | 
          Method of plasma etching of silicon carbide 
        | 
        
        
          | 
            
              US20020177321A1
              (en)
            
            
            
           | 
          2001-03-30 | 
          2002-11-28 | 
          Li Si Yi | 
          Plasma etching of silicon carbide 
      | 
        
        
          | 
            
              US7084070B1
              (en)
            
            
            
           | 
          2001-03-30 | 
          2006-08-01 | 
          Lam Research Corporation | 
          Treatment for corrosion in substrate processing 
        | 
        
        
          | 
            
              FR2823032B1
              (en)
            
            
            
           | 
          2001-04-03 | 
          2003-07-11 | 
          St Microelectronics Sa | 
          
  ELECTROMECHANICAL RESONATOR WITH VIBRATING BEAM
 
      | 
        
        
          | 
            
              US20020144657A1
              (en)
            
            
            
           | 
          2001-04-05 | 
          2002-10-10 | 
          Chiang Tony P. | 
          ALD reactor employing electrostatic chuck 
      | 
        
        
          | 
            
              US6761796B2
              (en)
            
            
            
           | 
          2001-04-06 | 
          2004-07-13 | 
          Axcelis Technologies, Inc. | 
          Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing 
        | 
        
        
          | 
            
              JP3707394B2
              (en)
            
            
            
           | 
          2001-04-06 | 
          2005-10-19 | 
          ソニー株式会社 | 
          
  Electroless plating method
 
      | 
        
        
          | 
            
              US20030019428A1
              (en)
            
            
            
           | 
          2001-04-28 | 
          2003-01-30 | 
          Applied Materials, Inc. | 
          Chemical vapor deposition chamber 
        | 
        
        
          | 
            
              US6914009B2
              (en)
            
            
            
           | 
          2001-05-07 | 
          2005-07-05 | 
          Applied Materials Inc | 
          Method of making small transistor lengths 
        | 
        
        
          | 
            
              US6740601B2
              (en)
            
            
            
           | 
          2001-05-11 | 
          2004-05-25 | 
          Applied Materials Inc. | 
          HDP-CVD deposition process for filling high aspect ratio gaps 
        | 
        
        
          | 
            
              US6717189B2
              (en)
            
            
            
           | 
          2001-06-01 | 
          2004-04-06 | 
          Ebara Corporation | 
          Electroless plating liquid and semiconductor device 
        | 
        
        
          | 
            
              DE10296935T5
              (en)
            
            
            
           | 
          2001-06-14 | 
          2004-04-22 | 
          Mattson Technology Inc., Fremont | 
          
  Barrier reinforcement process for copper vias (or interconnects)
 
      | 
        
        
          | 
            
              US6506291B2
              (en)
            
            
            
           | 
          2001-06-14 | 
          2003-01-14 | 
          Applied Materials, Inc. | 
          Substrate support with multilevel heat transfer mechanism 
        | 
        
        
          | 
            
              US6573606B2
              (en)
            
            
            
           | 
          2001-06-14 | 
          2003-06-03 | 
          International Business Machines Corporation | 
          Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect 
        | 
        
        
          | 
            
              US20060191637A1
              (en)
            
            
            
           | 
          2001-06-21 | 
          2006-08-31 | 
          John Zajac | 
          Etching Apparatus and Process with Thickness and Uniformity Control 
        | 
        
        
          | 
            
              US6685803B2
              (en)
            
            
            
           | 
          2001-06-22 | 
          2004-02-03 | 
          Applied Materials, Inc. | 
          Plasma treatment of processing gases 
        | 
        
        
          | 
            
              US6770166B1
              (en)
            
            
            
           | 
          2001-06-29 | 
          2004-08-03 | 
          Lam Research Corp. | 
          Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor 
        | 
        
        
          | 
            
              US6596599B1
              (en)
            
            
            
           | 
          2001-07-16 | 
          2003-07-22 | 
          Taiwan Semiconductor Manufacturing Company | 
          Gate stack for high performance sub-micron CMOS devices 
        | 
        
        
          | 
            
              US20030029715A1
              (en)
            
            
            
           | 
          2001-07-25 | 
          2003-02-13 | 
          Applied Materials, Inc. | 
          An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems 
      | 
        
        
          | 
            
              US6596654B1
              (en)
            
            
            
           | 
          2001-08-24 | 
          2003-07-22 | 
          Novellus Systems, Inc. | 
          Gap fill for high aspect ratio structures 
        | 
        
        
          | 
            
              US6846745B1
              (en)
            
            
            
           | 
          2001-08-03 | 
          2005-01-25 | 
          Novellus Systems, Inc. | 
          High-density plasma process for filling high aspect ratio structures 
        | 
        
        
          | 
            
              JP3914452B2
              (en)
            
            
            
           | 
          2001-08-07 | 
          2007-05-16 | 
          株式会社ルネサステクノロジ | 
          
  Manufacturing method of semiconductor integrated circuit device
 
      | 
        
        
          | 
            
              US6984288B2
              (en)
            
            
            
           | 
          2001-08-08 | 
          2006-01-10 | 
          Lam Research Corporation | 
          Plasma processor in plasma confinement region within a vacuum chamber 
        | 
        
        
          | 
            
              KR20040018558A
              (en)
            
            
            
           | 
          2001-08-13 | 
          2004-03-03 | 
          가부시키 가이샤 에바라 세이사꾸쇼 | 
          Semiconductor device and production method therefor, and plating solution 
        | 
        
        
          | 
            
              US20030038305A1
              (en)
            
            
            
           | 
          2001-08-21 | 
          2003-02-27 | 
          Wasshuber Christoph A. | 
          Method for manufacturing and structure of transistor with low-k spacer 
        | 
        
        
          | 
            
              US6762127B2
              (en)
            
            
            
           | 
          2001-08-23 | 
          2004-07-13 | 
          Yves Pierre Boiteux | 
          Etch process for dielectric materials comprising oxidized organo silane materials 
        | 
        
        
          | 
            
              US6753506B2
              (en)
            
            
            
           | 
          2001-08-23 | 
          2004-06-22 | 
          Axcelis Technologies | 
          System and method of fast ambient switching for rapid thermal processing 
        | 
        
        
          | 
            
              WO2003018867A1
              (en)
            
            
            
           | 
          2001-08-29 | 
          2003-03-06 | 
          Applied Materials, Inc. | 
          Semiconductor processing using an efficiently coupled gas source 
        | 
        
        
          | 
            
              US6796314B1
              (en)
            
            
            
           | 
          2001-09-07 | 
          2004-09-28 | 
          Novellus Systems, Inc. | 
          Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process 
        | 
        
        
          | 
            
              KR100441297B1
              (en)
            
            
            
           | 
          2001-09-14 | 
          2004-07-23 | 
          주성엔지니어링(주) | 
          Remote plasma-Assisted CCP type PECVD apparatus 
        | 
        
        
          | 
            
              US20030054608A1
              (en)
            
            
            
           | 
          2001-09-17 | 
          2003-03-20 | 
          Vanguard International Semiconductor Corporation | 
          Method for forming shallow trench isolation in semiconductor device 
      | 
        
        
          | 
            
              US6555467B2
              (en)
            
            
            
           | 
          2001-09-28 | 
          2003-04-29 | 
          Sharp Laboratories Of America, Inc. | 
          Method of making air gaps copper interconnect 
        | 
        
        
          | 
            
              US6656837B2
              (en)
            
            
            
           | 
          2001-10-11 | 
          2003-12-02 | 
          Applied Materials, Inc. | 
          Method of eliminating photoresist poisoning in damascene applications 
        | 
        
        
          | 
            
              AU2002301252B2
              (en)
            
            
            
           | 
          2001-10-12 | 
          2007-12-20 | 
          Bayer Aktiengesellschaft | 
          Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production 
        | 
        
        
          | 
            
              US6855906B2
              (en)
            
            
            
           | 
          2001-10-16 | 
          2005-02-15 | 
          Adam Alexander Brailove | 
          Induction plasma reactor 
        | 
        
        
          | 
            
              US20030072639A1
              (en)
            
            
            
           | 
          2001-10-17 | 
          2003-04-17 | 
          Applied Materials, Inc. | 
          Substrate support 
        | 
        
        
          | 
            
              KR100433091B1
              (en)
            
            
            
           | 
          2001-10-23 | 
          2004-05-28 | 
          주식회사 하이닉스반도체 | 
          Method for forming metal line using damascene process 
        | 
        
        
          | 
            
              JP3759895B2
              (en)
            
            
            
           | 
          2001-10-24 | 
          2006-03-29 | 
          松下電器産業株式会社 | 
          
        Etching method
       
      | 
        
        
          | 
            
              US6916398B2
              (en)
            
            
            
           | 
          2001-10-26 | 
          2005-07-12 | 
          Applied Materials, Inc. | 
          Gas delivery apparatus and method for atomic layer deposition 
        | 
        
        
          | 
            
              US7780785B2
              (en)
            
            
            
           | 
          2001-10-26 | 
          2010-08-24 | 
          Applied Materials, Inc. | 
          Gas delivery apparatus for atomic layer deposition 
        | 
        
        
          | 
            
              US20030087488A1
              (en)
            
            
            
           | 
          2001-11-07 | 
          2003-05-08 | 
          Tokyo Electron Limited | 
          Inductively coupled plasma source for improved process uniformity 
        | 
        
        
          | 
            
              JP4040284B2
              (en)
            
            
            
           | 
          2001-11-08 | 
          2008-01-30 | 
          住友大阪セメント株式会社 | 
          
  Electrode built-in susceptor for plasma generation and manufacturing method thereof
 
      | 
        
        
          | 
            
              JP2003158080A
              (en)
            
            
            
           | 
          2001-11-22 | 
          2003-05-30 | 
          Mitsubishi Electric Corp | 
          
        Semiconductor manufacturing apparatus, deposit removing method in semiconductor manufacturing apparatus, and semiconductor device manufacturing method
       
        | 
        
        
          | 
            
              KR100443121B1
              (en)
            
            
            
           | 
          2001-11-29 | 
          2004-08-04 | 
          삼성전자주식회사 | 
          Method for processing of semiconductor and apparatus for processing of semiconductor 
        | 
        
        
          | 
            
              US6794290B1
              (en)
            
            
            
           | 
          2001-12-03 | 
          2004-09-21 | 
          Novellus Systems, Inc. | 
          Method of chemical modification of structure topography 
        | 
        
        
          | 
            
              JP4392852B2
              (en)
            
            
            
           | 
          2001-12-07 | 
          2010-01-06 | 
          東京エレクトロン株式会社 | 
          
  Exhaust ring mechanism and plasma processing apparatus used in plasma processing apparatus
 
      | 
        
        
          | 
            
              AU2002354103A1
              (en)
            
            
            
           | 
          2001-12-07 | 
          2003-06-17 | 
          Tokyo Electron Limited | 
          Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method 
      | 
        
        
          | 
            
              US6905968B2
              (en)
            
            
            
           | 
          2001-12-12 | 
          2005-06-14 | 
          Applied Materials, Inc. | 
          Process for selectively etching dielectric layers 
        | 
        
        
          | 
            
              JP2006501634A
              (en)
            
            
            
           | 
          2001-12-13 | 
          2006-01-12 | 
          アプライド  マテリアルズ  インコーポレイテッド | 
          
        Method and apparatus for etching a substrate
       
      | 
        
        
          | 
            
              US6890850B2
              (en)
            
            
            
           | 
          2001-12-14 | 
          2005-05-10 | 
          Applied Materials, Inc. | 
          Method of depositing dielectric materials in damascene applications 
        | 
        
        
          | 
            
              US6605874B2
              (en)
            
            
            
           | 
          2001-12-19 | 
          2003-08-12 | 
          Intel Corporation | 
          Method of making semiconductor device using an interconnect 
        | 
        
        
          | 
            
              AU2002366943A1
              (en)
            
            
            
           | 
          2001-12-20 | 
          2003-07-09 | 
          Tokyo Electron Limited | 
          Method and apparatus comprising a magnetic filter for plasma processing a workpiece 
      | 
        
        
          | 
            
              US20030116087A1
              (en)
            
            
            
           | 
          2001-12-21 | 
          2003-06-26 | 
          Nguyen Anh N. | 
          Chamber hardware design for titanium nitride atomic layer deposition 
      | 
        
        
          | 
            
              US20030116439A1
              (en)
            
            
            
           | 
          2001-12-21 | 
          2003-06-26 | 
          International Business Machines Corporation | 
          Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices 
        | 
        
        
          | 
            
              KR100426059B1
              (en)
            
            
            
           | 
          2001-12-26 | 
          2004-04-06 | 
          홍덕스틸코드주식회사 | 
          Saw wire making superior slicing surfaces 
        | 
        
        
          | 
            
              KR100484258B1
              (en)
            
            
            
           | 
          2001-12-27 | 
          2005-04-22 | 
          주식회사 하이닉스반도체 | 
          Method for fabricating semiconductor device 
        | 
        
        
          | 
            
              US20030124842A1
              (en)
            
            
            
           | 
          2001-12-27 | 
          2003-07-03 | 
          Applied Materials, Inc. | 
          Dual-gas delivery system for chemical vapor deposition processes 
        | 
        
        
          | 
            
              US6828241B2
              (en)
            
            
            
           | 
          2002-01-07 | 
          2004-12-07 | 
          Applied Materials, Inc. | 
          Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source 
        | 
        
        
          | 
            
              US6942929B2
              (en)
            
            
            
           | 
          2002-01-08 | 
          2005-09-13 | 
          Nianci Han | 
          Process chamber having component with yttrium-aluminum coating 
        | 
        
        
          | 
            
              US6827815B2
              (en)
            
            
            
           | 
          2002-01-15 | 
          2004-12-07 | 
          Applied Materials, Inc. | 
          Showerhead assembly for a processing chamber 
        | 
        
        
          | 
            
              US6869880B2
              (en)
            
            
            
           | 
          2002-01-24 | 
          2005-03-22 | 
          Applied Materials, Inc. | 
          In situ application of etch back for improved deposition into high-aspect-ratio features 
        | 
        
        
          | 
            
              US6998014B2
              (en)
            
            
            
           | 
          2002-01-26 | 
          2006-02-14 | 
          Applied Materials, Inc. | 
          Apparatus and method for plasma assisted deposition 
        | 
        
        
          | 
            
              US6866746B2
              (en)
            
            
            
           | 
          2002-01-26 | 
          2005-03-15 | 
          Applied Materials, Inc. | 
          Clamshell and small volume chamber with fixed substrate support 
        | 
        
        
          | 
            
              US7138014B2
              (en)
            
            
            
           | 
          2002-01-28 | 
          2006-11-21 | 
          Applied Materials, Inc. | 
          Electroless deposition apparatus 
        | 
        
        
          | 
            
              TWI239794B
              (en)
            
            
            
           | 
          2002-01-30 | 
          2005-09-11 | 
          Alps Electric Co Ltd | 
          Plasma processing apparatus and method 
      | 
        
        
          | 
            
              US7226504B2
              (en)
            
            
            
           | 
          2002-01-31 | 
          2007-06-05 | 
          Sharp Laboratories Of America, Inc. | 
          Method to form thick relaxed SiGe layer with trench structure 
        | 
        
        
          | 
            
              US6632325B2
              (en)
            
            
            
           | 
          2002-02-07 | 
          2003-10-14 | 
          Applied Materials, Inc. | 
          Article for use in a semiconductor processing chamber and method of fabricating same 
        | 
        
        
          | 
            
              US6821348B2
              (en)
            
            
            
           | 
          2002-02-14 | 
          2004-11-23 | 
          3M Innovative Properties Company | 
          In-line deposition processes for circuit fabrication 
        | 
        
        
          | 
            
              US6656848B1
              (en)
            
            
            
           | 
          2002-02-22 | 
          2003-12-02 | 
          Scientific Systems Research Limited | 
          Plasma chamber conditioning 
        | 
        
        
          | 
            
              JP3921234B2
              (en)
            
            
            
           | 
          2002-02-28 | 
          2007-05-30 | 
          キヤノンアネルバ株式会社 | 
          
        Surface treatment apparatus and manufacturing method thereof
       
      | 
        
        
          | 
            
              US6677167B2
              (en)
            
            
            
           | 
          2002-03-04 | 
          2004-01-13 | 
          Hitachi High-Technologies Corporation | 
          Wafer processing apparatus and a wafer stage and a wafer processing method 
        | 
        
        
          | 
            
              US6646233B2
              (en)
            
            
            
           | 
          2002-03-05 | 
          2003-11-11 | 
          Hitachi High-Technologies Corporation | 
          Wafer stage for wafer processing apparatus and wafer processing method 
        | 
        
        
          | 
            
              US20060252265A1
              (en)
            
            
            
           | 
          2002-03-06 | 
          2006-11-09 | 
          Guangxiang Jin | 
          Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control 
        | 
        
        
          | 
            
              US20030168174A1
              (en)
            
            
            
           | 
          2002-03-08 | 
          2003-09-11 | 
          Foree Michael Todd | 
          Gas cushion susceptor system 
        | 
        
        
          | 
            
              US7252011B2
              (en)
            
            
            
           | 
          2002-03-11 | 
          2007-08-07 | 
          Mks Instruments, Inc. | 
          Surface area deposition trap 
        | 
        
        
          | 
            
              US7256370B2
              (en)
            
            
            
           | 
          2002-03-15 | 
          2007-08-14 | 
          Steed Technology, Inc. | 
          Vacuum thermal annealer 
        | 
        
        
          | 
            
              JP3813562B2
              (en)
            
            
            
           | 
          2002-03-15 | 
          2006-08-23 | 
          富士通株式会社 | 
          
        Semiconductor device and manufacturing method thereof
       
      | 
        
        
          | 
            
              US6913651B2
              (en)
            
            
            
           | 
          2002-03-22 | 
          2005-07-05 | 
          Blue29, Llc | 
          Apparatus and method for electroless deposition of materials on semiconductor substrates 
        | 
        
        
          | 
            
              US6541397B1
              (en)
            
            
            
           | 
          2002-03-29 | 
          2003-04-01 | 
          Applied Materials, Inc. | 
          Removable amorphous carbon CMP stop 
        | 
        
        
          | 
            
              US6843858B2
              (en)
            
            
            
           | 
          2002-04-02 | 
          2005-01-18 | 
          Applied Materials, Inc. | 
          Method of cleaning a semiconductor processing chamber 
        | 
        
        
          | 
            
              US20030190426A1
              (en)
            
            
            
           | 
          2002-04-03 | 
          2003-10-09 | 
          Deenesh Padhi | 
          Electroless deposition method 
        | 
        
        
          | 
            
              US6921556B2
              (en)
            
            
            
           | 
          2002-04-12 | 
          2005-07-26 | 
          Asm Japan K.K. | 
          Method of film deposition using single-wafer-processing type CVD 
        | 
        
        
          | 
            
              US6616967B1
              (en)
            
            
            
           | 
          2002-04-15 | 
          2003-09-09 | 
          Texas Instruments Incorporated | 
          Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process 
        | 
        
        
          | 
            
              US6897532B1
              (en)
            
            
            
           | 
          2002-04-15 | 
          2005-05-24 | 
          Cypress Semiconductor Corp. | 
          Magnetic tunneling junction configuration and a method for making the same 
        | 
        
        
          | 
            
              US7013834B2
              (en)
            
            
            
           | 
          2002-04-19 | 
          2006-03-21 | 
          Nordson Corporation | 
          Plasma treatment system 
        | 
        
        
          | 
            
              KR100448714B1
              (en)
            
            
            
           | 
          2002-04-24 | 
          2004-09-13 | 
          삼성전자주식회사 | 
          Insulating layer in Semiconductor Device with Multi-nanolaminate Structure of SiNx and BN and Method for Forming the Same 
        | 
        
        
          | 
            
              US6528409B1
              (en)
            
            
            
           | 
          2002-04-29 | 
          2003-03-04 | 
          Advanced Micro Devices, Inc. | 
          Interconnect structure formed in porous dielectric material with minimized degradation and electromigration 
        | 
        
        
          | 
            
              US6908862B2
              (en)
            
            
            
           | 
          2002-05-03 | 
          2005-06-21 | 
          Applied Materials, Inc. | 
          HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features 
        | 
        
        
          | 
            
              JP2003324072A
              (en)
            
            
            
           | 
          2002-05-07 | 
          2003-11-14 | 
          Nec Electronics Corp | 
          Semiconductor manufacturing equipment 
        | 
        
        
          | 
            
              TW538497B
              (en)
            
            
            
           | 
          2002-05-16 | 
          2003-06-21 | 
          Nanya Technology Corp | 
          Method to form a bottle-shaped trench 
      | 
        
        
          | 
            
              US6825051B2
              (en)
            
            
            
           | 
          2002-05-17 | 
          2004-11-30 | 
          Asm America, Inc. | 
          Plasma etch resistant coating and process 
        | 
        
        
          | 
            
              US6500728B1
              (en)
            
            
            
           | 
          2002-05-24 | 
          2002-12-31 | 
          Taiwan Semiconductor Manufacturing Company | 
          Shallow trench isolation (STI) module to improve contact etch process window 
        | 
        
        
          | 
            
              US20030224217A1
              (en)
            
            
            
           | 
          2002-05-31 | 
          2003-12-04 | 
          Applied Materials, Inc. | 
          Metal nitride formation 
      | 
        
        
          | 
            
              KR100434110B1
              (en)
            
            
            
           | 
          2002-06-04 | 
          2004-06-04 | 
          삼성전자주식회사 | 
          Method of Manufacturing Semiconductor Device 
        | 
        
        
          | 
            
              US20030230385A1
              (en)
            
            
            
           | 
          2002-06-13 | 
          2003-12-18 | 
          Applied Materials, Inc. | 
          Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system 
        | 
        
        
          | 
            
              TWI275661B
              (en)
            
            
            
           | 
          2002-06-14 | 
          2007-03-11 | 
          Sekisui Chemical Co Ltd | 
          Oxide film forming method and oxide film forming apparatus 
      | 
        
        
          | 
            
              US6924191B2
              (en)
            
            
            
           | 
          2002-06-20 | 
          2005-08-02 | 
          Applied Materials, Inc. | 
          Method for fabricating a gate structure of a field effect transistor 
        | 
        
        
          | 
            
              DE10229037A1
              (en)
            
            
            
           | 
          2002-06-28 | 
          2004-01-29 | 
          Robert Bosch Gmbh | 
          
  Device and method for producing chlorine trifluoride and plant for etching semiconductor substrates with this device
 
        | 
        
        
          | 
            
              US20040072446A1
              (en)
            
            
            
           | 
          2002-07-02 | 
          2004-04-15 | 
          Applied Materials, Inc. | 
          Method for fabricating an ultra shallow junction of a field effect transistor 
        | 
        
        
          | 
            
              US6767844B2
              (en)
            
            
            
           | 
          2002-07-03 | 
          2004-07-27 | 
          Taiwan Semiconductor Manufacturing Co., Ltd | 
          Plasma chamber equipped with temperature-controlled focus ring and method of operating 
        | 
        
        
          | 
            
              US6838125B2
              (en)
            
            
            
           | 
          2002-07-10 | 
          2005-01-04 | 
          Applied Materials, Inc. | 
          Method of film deposition using activated precursor gases 
        | 
        
        
          | 
            
              US7357138B2
              (en)
            
            
            
           | 
          2002-07-18 | 
          2008-04-15 | 
          Air Products And Chemicals, Inc. | 
          Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials 
        | 
        
        
          | 
            
              US7988398B2
              (en)
            
            
            
           | 
          2002-07-22 | 
          2011-08-02 | 
          Brooks Automation, Inc. | 
          Linear substrate transport apparatus 
        | 
        
        
          | 
            
              EP1585999A4
              (en)
            
            
            
           | 
          2002-08-02 | 
          2008-09-17 | 
          E A Fischione Instr Inc | 
          Methods and apparatus for preparing specimens for microscopy 
        | 
        
        
          | 
            
              US20060046412A1
              (en)
            
            
            
           | 
          2002-08-06 | 
          2006-03-02 | 
          Tegal Corporation | 
          Method and system for sequential processing in a two-compartment chamber 
        | 
        
        
          | 
            
              US20060040055A1
              (en)
            
            
            
           | 
          2002-08-06 | 
          2006-02-23 | 
          Tegal Corporation | 
          Method and system for sequential processing in a two-compartment chamber 
        | 
        
        
          | 
            
              US20040058293A1
              (en)
            
            
            
           | 
          2002-08-06 | 
          2004-03-25 | 
          Tue Nguyen | 
          Assembly line processing system 
        | 
        
        
          | 
            
              US6921555B2
              (en)
            
            
            
           | 
          2002-08-06 | 
          2005-07-26 | 
          Tegal Corporation | 
          Method and system for sequential processing in a two-compartment chamber 
        | 
        
        
          | 
            
              JP3861036B2
              (en)
            
            
            
           | 
          2002-08-09 | 
          2006-12-20 | 
          三菱重工業株式会社 | 
          
  Plasma CVD equipment
 
      | 
        
        
          | 
            
              US7541270B2
              (en)
            
            
            
           | 
          2002-08-13 | 
          2009-06-02 | 
          Micron Technology, Inc. | 
          Methods for forming openings in doped silicon dioxide 
        | 
        
        
          | 
            
              US20040033677A1
              (en)
            
            
            
           | 
          2002-08-14 | 
          2004-02-19 | 
          Reza Arghavani | 
          Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier 
        | 
        
        
          | 
            
              US6781173B2
              (en)
            
            
            
           | 
          2002-08-29 | 
          2004-08-24 | 
          Micron Technology, Inc. | 
          MRAM sense layer area control 
        | 
        
        
          | 
            
              US6946033B2
              (en)
            
            
            
           | 
          2002-09-16 | 
          2005-09-20 | 
          Applied Materials Inc. | 
          Heated gas distribution plate for a processing chamber 
        | 
        
        
          | 
            
              JP3991315B2
              (en)
            
            
            
           | 
          2002-09-17 | 
          2007-10-17 | 
          キヤノンアネルバ株式会社 | 
          
        Thin film forming apparatus and method
       
      | 
        
        
          | 
            
              US7335609B2
              (en)
            
            
            
           | 
          2004-08-27 | 
          2008-02-26 | 
          Applied Materials, Inc. | 
          Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials 
        | 
        
        
          | 
            
              JP4260450B2
              (en)
            
            
            
           | 
          2002-09-20 | 
          2009-04-30 | 
          東京エレクトロン株式会社 | 
          
  Manufacturing method of electrostatic chuck in vacuum processing apparatus
 
      | 
        
        
          | 
            
              US7166200B2
              (en)
            
            
            
           | 
          2002-09-30 | 
          2007-01-23 | 
          Tokyo Electron Limited | 
          Method and apparatus for an improved upper electrode plate in a plasma processing system 
        | 
        
        
          | 
            
              KR100500852B1
              (en)
            
            
            
           | 
          2002-10-10 | 
          2005-07-12 | 
          최대규 | 
          Remote plasma generator 
        | 
        
        
          | 
            
              US6991959B2
              (en)
            
            
            
           | 
          2002-10-10 | 
          2006-01-31 | 
          Asm Japan K.K. | 
          Method of manufacturing silicon carbide film 
        | 
        
        
          | 
            
              JP4606713B2
              (en)
            
            
            
           | 
          2002-10-17 | 
          2011-01-05 | 
          ルネサスエレクトロニクス株式会社 | 
          
        Semiconductor device and manufacturing method thereof
       
      | 
        
        
          | 
            
              US6699380B1
              (en)
            
            
            
           | 
          2002-10-18 | 
          2004-03-02 | 
          Applied Materials Inc. | 
          Modular electrochemical processing system 
        | 
        
        
          | 
            
              US7628897B2
              (en)
            
            
            
           | 
          2002-10-23 | 
          2009-12-08 | 
          Applied Materials, Inc. | 
          Reactive ion etching for semiconductor device feature topography modification 
        | 
        
        
          | 
            
              US6802944B2
              (en)
            
            
            
           | 
          2002-10-23 | 
          2004-10-12 | 
          Applied Materials, Inc. | 
          High density plasma CVD process for gapfill into high aspect ratio features 
        | 
        
        
          | 
            
              US6853043B2
              (en)
            
            
            
           | 
          2002-11-04 | 
          2005-02-08 | 
          Applied Materials, Inc. | 
          Nitrogen-free antireflective coating for use with photolithographic patterning 
        | 
        
        
          | 
            
              JP2004165317A
              (en)
            
            
            
           | 
          2002-11-12 | 
          2004-06-10 | 
          Renesas Technology Corp | 
          
        Semiconductor device and method of manufacturing the same
       
        | 
        
        
          | 
            
              KR100862658B1
              (en)
            
            
            
           | 
          2002-11-15 | 
          2008-10-10 | 
          삼성전자주식회사 | 
          
        Gas injection device of semiconductor processing system
       
        | 
        
        
          | 
            
              US6861332B2
              (en)
            
            
            
           | 
          2002-11-21 | 
          2005-03-01 | 
          Intel Corporation | 
          Air gap interconnect method 
        | 
        
        
          | 
            
              US6902628B2
              (en)
            
            
            
           | 
          2002-11-25 | 
          2005-06-07 | 
          Applied Materials, Inc. | 
          Method of cleaning a coated process chamber component 
        | 
        
        
          | 
            
              US6713873B1
              (en)
            
            
            
           | 
          2002-11-27 | 
          2004-03-30 | 
          Intel Corporation | 
          Adhesion between dielectric materials 
        | 
        
        
          | 
            
              US7396773B1
              (en)
            
            
            
           | 
          2002-12-06 | 
          2008-07-08 | 
          Cypress Semiconductor Company | 
          Method for cleaning a gate stack 
        | 
        
        
          | 
            
              DE10260352A1
              (en)
            
            
            
           | 
          2002-12-20 | 
          2004-07-15 | 
          Infineon Technologies Ag | 
          
        Method of manufacturing a capacitor arrangement and capacitor arrangement
       
        | 
        
        
          | 
            
              US20040118344A1
              (en)
            
            
            
           | 
          2002-12-20 | 
          2004-06-24 | 
          Lam Research Corporation | 
          System and method for controlling plasma with an adjustable coupling to ground circuit 
        | 
        
        
          | 
            
              US6806949B2
              (en)
            
            
            
           | 
          2002-12-31 | 
          2004-10-19 | 
          Tokyo Electron Limited | 
          Monitoring material buildup on system components by optical emission 
        | 
        
        
          | 
            
              US6720213B1
              (en)
            
            
            
           | 
          2003-01-15 | 
          2004-04-13 | 
          International Business Machines Corporation | 
          Low-K gate spacers by fluorine implantation 
        | 
        
        
          | 
            
              US6808748B2
              (en)
            
            
            
           | 
          2003-01-23 | 
          2004-10-26 | 
          Applied Materials, Inc. | 
          Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology 
        | 
        
        
          | 
            
              US7500445B2
              (en)
            
            
            
           | 
          2003-01-27 | 
          2009-03-10 | 
          Applied Materials, Inc. | 
          Method and apparatus for cleaning a CVD chamber 
        | 
        
        
          | 
            
              US7316761B2
              (en)
            
            
            
           | 
          2003-02-03 | 
          2008-01-08 | 
          Applied Materials, Inc. | 
          Apparatus for uniformly etching a dielectric layer 
        | 
        
        
          | 
            
              US7205248B2
              (en)
            
            
            
           | 
          2003-02-04 | 
          2007-04-17 | 
          Micron Technology, Inc. | 
          Method of eliminating residual carbon from flowable oxide fill 
        | 
        
        
          | 
            
              US7078351B2
              (en)
            
            
            
           | 
          2003-02-10 | 
          2006-07-18 | 
          Taiwan Semiconductor Manufacturing Company, Ltd. | 
          Photoresist intensive patterning and processing 
        | 
        
        
          | 
            
              KR101276694B1
              (en)
            
            
            
           | 
          2003-02-14 | 
          2013-06-19 | 
          어플라이드 머티어리얼스, 인코포레이티드 | 
          Cleaning of native oxide with hydrogen-containing radicals 
        | 
        
        
          | 
            
              US6982175B2
              (en)
            
            
            
           | 
          2003-02-14 | 
          2006-01-03 | 
          Unaxis Usa Inc. | 
          End point detection in time division multiplexed etch processes 
        | 
        
        
          | 
            
              US20060137613A1
              (en)
            
            
            
           | 
          2004-01-27 | 
          2006-06-29 | 
          Shigeru Kasai | 
          Plasma generating apparatus, plasma generating method and remote plasma processing apparatus 
        | 
        
        
          | 
            
              DE10308870B4
              (en)
            
            
            
           | 
          2003-02-28 | 
          2006-07-27 | 
          Austriamicrosystems Ag | 
          
        Bipolar transistor with improved base-emitter junction and method of manufacture
       
        | 
        
        
          | 
            
              US6913992B2
              (en)
            
            
            
           | 
          2003-03-07 | 
          2005-07-05 | 
          Applied Materials, Inc. | 
          Method of modifying interlayer adhesion 
        | 
        
        
          | 
            
              CN100388434C
              (en)
            
            
            
           | 
          2003-03-12 | 
          2008-05-14 | 
          东京毅力科创株式会社 | 
          
        Substrate holding structure and plasma processing apparatus for semiconductor processing
       
        | 
        
        
          | 
            
              US6951821B2
              (en)
            
            
            
           | 
          2003-03-17 | 
          2005-10-04 | 
          Tokyo Electron Limited | 
          Processing system and method for chemically treating a substrate 
        | 
        
        
          | 
            
              US20040182315A1
              (en)
            
            
            
           | 
          2003-03-17 | 
          2004-09-23 | 
          Tokyo Electron Limited | 
          Reduced maintenance chemical oxide removal (COR) processing system 
        | 
        
        
          | 
            
              JP2004296467A
              (en)
            
            
            
           | 
          2003-03-25 | 
          2004-10-21 | 
          Hitachi Kokusai Electric Inc | 
          
        Substrate processing equipment
       
        | 
        
        
          | 
            
              US20040187787A1
              (en)
            
            
            
           | 
          2003-03-31 | 
          2004-09-30 | 
          Dawson Keith E. | 
          Substrate support having temperature controlled substrate support surface 
        | 
        
        
          | 
            
              US7575692B2
              (en)
            
            
            
           | 
          2003-04-11 | 
          2009-08-18 | 
          Hoya Corporation | 
          Method for etching chromium thin film and method for producing photomask 
        | 
        
        
          | 
            
              US7037376B2
              (en)
            
            
            
           | 
          2003-04-11 | 
          2006-05-02 | 
          Applied Materials Inc. | 
          Backflush chamber clean 
        | 
        
        
          | 
            
              US7126225B2
              (en)
            
            
            
           | 
          2003-04-15 | 
          2006-10-24 | 
          Taiwan Semiconductor Manufacturing Company, Ltd. | 
          Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling 
        | 
        
        
          | 
            
              US6942753B2
              (en)
            
            
            
           | 
          2003-04-16 | 
          2005-09-13 | 
          Applied Materials, Inc. | 
          Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition 
        | 
        
        
          | 
            
              US6872909B2
              (en)
            
            
            
           | 
          2003-04-16 | 
          2005-03-29 | 
          Applied Science And Technology, Inc. | 
          Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel 
        | 
        
        
          | 
            
              US20040211357A1
              (en)
            
            
            
           | 
          2003-04-24 | 
          2004-10-28 | 
          Gadgil Pradad N. | 
          Method of manufacturing a gap-filled structure of a semiconductor device 
        | 
        
        
          | 
            
              US6830624B2
              (en)
            
            
            
           | 
          2003-05-02 | 
          2004-12-14 | 
          Applied Materials, Inc. | 
          Blocker plate by-pass for remote plasma clean 
        | 
        
        
          | 
            
              US6903511B2
              (en)
            
            
            
           | 
          2003-05-06 | 
          2005-06-07 | 
          Zond, Inc. | 
          Generation of uniformly-distributed plasma 
        | 
        
        
          | 
            
              DE10320472A1
              (en)
            
            
            
           | 
          2003-05-08 | 
          2004-12-02 | 
          Kolektor D.O.O. | 
          
  Plasma treatment for cleaning copper or nickel
 
        | 
        
        
          | 
            
              US7045020B2
              (en)
            
            
            
           | 
          2003-05-22 | 
          2006-05-16 | 
          Applied Materials, Inc. | 
          Cleaning a component of a process chamber 
        | 
        
        
          | 
            
              US6713835B1
              (en)
            
            
            
           | 
          2003-05-22 | 
          2004-03-30 | 
          International Business Machines Corporation | 
          Method for manufacturing a multi-level interconnect structure 
        | 
        
        
          | 
            
              JP4108633B2
              (en)
            
            
            
           | 
          2003-06-20 | 
          2008-06-25 | 
          シャープ株式会社 | 
          
        THIN FILM TRANSISTOR, MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICE
       
      | 
        
        
          | 
            
              US7067432B2
              (en)
            
            
            
           | 
          2003-06-26 | 
          2006-06-27 | 
          Applied Materials, Inc. | 
          Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing 
        | 
        
        
          | 
            
              KR100853388B1
              (en)
            
            
            
           | 
          2003-06-27 | 
          2008-08-21 | 
          도쿄엘렉트론가부시키가이샤 | 
          
        Cleaning method and substrate processing method
       
        | 
        
        
          | 
            
              US7151277B2
              (en)
            
            
            
           | 
          2003-07-03 | 
          2006-12-19 | 
          The Regents Of The University Of California | 
          Selective etching of silicon carbide films 
        | 
        
        
          | 
            
              JP4245996B2
              (en)
            
            
            
           | 
          2003-07-07 | 
          2009-04-02 | 
          株式会社荏原製作所 | 
          
  Cap film forming method by electroless plating and apparatus used therefor
 
      | 
        
        
          | 
            
              US7368392B2
              (en)
            
            
            
           | 
          2003-07-10 | 
          2008-05-06 | 
          Applied Materials, Inc. | 
          Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode 
        | 
        
        
          | 
            
              US6995073B2
              (en)
            
            
            
           | 
          2003-07-16 | 
          2006-02-07 | 
          Intel Corporation | 
          Air gap integration 
        | 
        
        
          | 
            
              JP3866694B2
              (en)
            
            
            
           | 
          2003-07-30 | 
          2007-01-10 | 
          株式会社日立ハイテクノロジーズ | 
          
        LSI device etching method and apparatus
       
      | 
        
        
          | 
            
              US7256134B2
              (en)
            
            
            
           | 
          2003-08-01 | 
          2007-08-14 | 
          Applied Materials, Inc. | 
          Selective etching of carbon-doped low-k dielectrics 
        | 
        
        
          | 
            
              JP4239750B2
              (en)
            
            
            
           | 
          2003-08-13 | 
          2009-03-18 | 
          セイコーエプソン株式会社 | 
          
  Microlens and microlens manufacturing method, optical device, optical transmission device, laser printer head, and laser printer
 
      | 
        
        
          | 
            
              US20050035455A1
              (en)
            
            
            
           | 
          2003-08-14 | 
          2005-02-17 | 
          Chenming Hu | 
          Device with low-k dielectric in close proximity thereto and its method of fabrication 
        | 
        
        
          | 
            
              US7182816B2
              (en)
            
            
            
           | 
          2003-08-18 | 
          2007-02-27 | 
          Tokyo Electron Limited | 
          Particulate reduction using temperature-controlled chamber shield 
        | 
        
        
          | 
            
              US7361865B2
              (en)
            
            
            
           | 
          2003-08-27 | 
          2008-04-22 | 
          Kyocera Corporation | 
          Heater for heating a wafer and method for fabricating the same 
        | 
        
        
          | 
            
              US7521000B2
              (en)
            
            
            
           | 
          2003-08-28 | 
          2009-04-21 | 
          Applied Materials, Inc. | 
          Process for etching photomasks 
        | 
        
        
          | 
            
              US6903031B2
              (en)
            
            
            
           | 
          2003-09-03 | 
          2005-06-07 | 
          Applied Materials, Inc. | 
          In-situ-etch-assisted HDP deposition using SiF4 and hydrogen 
        | 
        
        
          | 
            
              US7282244B2
              (en)
            
            
            
           | 
          2003-09-05 | 
          2007-10-16 | 
          General Electric Company | 
          Replaceable plate expanded thermal plasma apparatus and method 
        | 
        
        
          | 
            
              US7030034B2
              (en)
            
            
            
           | 
          2003-09-18 | 
          2006-04-18 | 
          Micron Technology, Inc. | 
          Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum 
        | 
        
        
          | 
            
              US6967405B1
              (en)
            
            
            
           | 
          2003-09-24 | 
          2005-11-22 | 
          Yongsik Yu | 
          Film for copper diffusion barrier 
        | 
        
        
          | 
            
              JP2005101141A
              (en)
            
            
            
           | 
          2003-09-24 | 
          2005-04-14 | 
          Renesas Technology Corp | 
          
        Semiconductor integrated circuit device and manufacturing method thereof
       
        | 
        
        
          | 
            
              US7371688B2
              (en)
            
            
            
           | 
          2003-09-30 | 
          2008-05-13 | 
          Air Products And Chemicals, Inc. | 
          Removal of transition metal ternary and/or quaternary barrier materials from a substrate 
        | 
        
        
          | 
            
              US7071532B2
              (en)
            
            
            
           | 
          2003-09-30 | 
          2006-07-04 | 
          International Business Machines Corporation | 
          Adjustable self-aligned air gap dielectric for low capacitance wiring 
        | 
        
        
          | 
            
              JP4399227B2
              (en)
            
            
            
           | 
          2003-10-06 | 
          2010-01-13 | 
          株式会社フジキン | 
          
  Chamber internal pressure control device and internal pressure controlled chamber
 
      | 
        
        
          | 
            
              US20050087517A1
              (en)
            
            
            
           | 
          2003-10-09 | 
          2005-04-28 | 
          Andrew Ott | 
          Adhesion between carbon doped oxide and etch stop layers 
        | 
        
        
          | 
            
              US7581511B2
              (en)
            
            
            
           | 
          2003-10-10 | 
          2009-09-01 | 
          Micron Technology, Inc. | 
          Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes 
        | 
        
        
          | 
            
              US7125792B2
              (en)
            
            
            
           | 
          2003-10-14 | 
          2006-10-24 | 
          Infineon Technologies Ag | 
          Dual damascene structure and method 
        | 
        
        
          | 
            
              US20070111519A1
              (en)
            
            
            
           | 
          2003-10-15 | 
          2007-05-17 | 
          Applied Materials, Inc. | 
          Integrated electroless deposition system 
        | 
        
        
          | 
            
              US7465358B2
              (en)
            
            
            
           | 
          2003-10-15 | 
          2008-12-16 | 
          Applied Materials, Inc. | 
          Measurement techniques for controlling aspects of a electroless deposition process 
        | 
        
        
          | 
            
              JP2005129666A
              (en)
            
            
            
           | 
          2003-10-22 | 
          2005-05-19 | 
          Canon Inc | 
          
        Processing method and apparatus
       
        | 
        
        
          | 
            
              JP2005129688A
              (en)
            
            
            
           | 
          2003-10-23 | 
          2005-05-19 | 
          Hitachi Ltd | 
          
        Manufacturing method of semiconductor device
       
        | 
        
        
          | 
            
              US7053994B2
              (en)
            
            
            
           | 
          2003-10-28 | 
          2006-05-30 | 
          Lam Research Corporation | 
          Method and apparatus for etch endpoint detection 
        | 
        
        
          | 
            
              KR100561848B1
              (en)
            
            
            
           | 
          2003-11-04 | 
          2006-03-16 | 
          삼성전자주식회사 | 
          
        Helical resonator type plasma processing device
       
        | 
        
        
          | 
            
              US7709392B2
              (en)
            
            
            
           | 
          2003-11-05 | 
          2010-05-04 | 
          Taiwan Semiconductor Manufacturing Co., Ltd. | 
          Low K dielectric surface damage control 
        | 
        
        
          | 
            
              US20050103267A1
              (en)
            
            
            
           | 
          2003-11-14 | 
          2005-05-19 | 
          Hur Gwang H. | 
          Flat panel display manufacturing apparatus 
        | 
        
        
          | 
            
              US20050145341A1
              (en)
            
            
            
           | 
          2003-11-19 | 
          2005-07-07 | 
          Masaki Suzuki | 
          Plasma processing apparatus 
        | 
        
        
          | 
            
              JP4393844B2
              (en)
            
            
            
           | 
          2003-11-19 | 
          2010-01-06 | 
          東京エレクトロン株式会社 | 
          
  Plasma film forming apparatus and plasma film forming method
 
      | 
        
        
          | 
            
              KR100558925B1
              (en)
            
            
            
           | 
          2003-11-24 | 
          2006-03-10 | 
          세메스 주식회사 | 
          
        Wafer Edge Etching Equipment
       
        | 
        
        
          | 
            
              US20050109276A1
              (en)
            
            
            
           | 
          2003-11-25 | 
          2005-05-26 | 
          Applied Materials, Inc. | 
          Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber 
        | 
        
        
          | 
            
              US20050112876A1
              (en)
            
            
            
           | 
          2003-11-26 | 
          2005-05-26 | 
          Chih-Ta Wu | 
          Method to form a robust TiCI4 based CVD TiN film 
        | 
        
        
          | 
            
              US7081407B2
              (en)
            
            
            
           | 
          2003-12-16 | 
          2006-07-25 | 
          Lam Research Corporation | 
          Method of preventing damage to porous low-k materials during resist stripping 
        | 
        
        
          | 
            
              US7220497B2
              (en)
            
            
            
           | 
          2003-12-18 | 
          2007-05-22 | 
          Lam Research Corporation | 
          Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components 
        | 
        
        
          | 
            
              US6958286B2
              (en)
            
            
            
           | 
          2004-01-02 | 
          2005-10-25 | 
          International Business Machines Corporation | 
          Method of preventing surface roughening during hydrogen prebake of SiGe substrates 
        | 
        
        
          | 
            
              US6893967B1
              (en)
            
            
            
           | 
          2004-01-13 | 
          2005-05-17 | 
          Advanced Micro Devices, Inc. | 
          L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials 
        | 
        
        
          | 
            
              US7361605B2
              (en)
            
            
            
           | 
          2004-01-20 | 
          2008-04-22 | 
          Mattson Technology, Inc. | 
          System and method for removal of photoresist and residues following contact etch with a stop layer present 
        | 
        
        
          | 
            
              US20060033678A1
              (en)
            
            
            
           | 
          2004-01-26 | 
          2006-02-16 | 
          Applied Materials, Inc. | 
          Integrated electroless deposition system 
        | 
        
        
          | 
            
              US7012027B2
              (en)
            
            
            
           | 
          2004-01-27 | 
          2006-03-14 | 
          Taiwan Semiconductor Manufacturing Company, Ltd. | 
          Zirconium oxide and hafnium oxide etching using halogen containing chemicals 
        | 
        
        
          | 
            
              US7064078B2
              (en)
            
            
            
           | 
          2004-01-30 | 
          2006-06-20 | 
          Applied Materials | 
          Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme 
        | 
        
        
          | 
            
              US7291550B2
              (en)
            
            
            
           | 
          2004-02-13 | 
          2007-11-06 | 
          Chartered Semiconductor Manufacturing Ltd. | 
          Method to form a contact hole 
        | 
        
        
          | 
            
              JP4707959B2
              (en)
            
            
            
           | 
          2004-02-20 | 
          2011-06-22 | 
          日本エー・エス・エム株式会社 | 
          
        Shower plate, plasma processing apparatus and plasma processing method
       
      | 
        
        
          | 
            
              JP4698251B2
              (en)
            
            
            
           | 
          2004-02-24 | 
          2011-06-08 | 
          アプライド  マテリアルズ  インコーポレイテッド | 
          
        Movable or flexible shower head mounting
       
      | 
        
        
          | 
            
              US20050230350A1
              (en)
            
            
            
           | 
          2004-02-26 | 
          2005-10-20 | 
          Applied Materials, Inc. | 
          In-situ dry clean chamber for front end of line fabrication 
        | 
        
        
          | 
            
              US20070123051A1
              (en)
            
            
            
           | 
          2004-02-26 | 
          2007-05-31 | 
          Reza Arghavani | 
          Oxide etch with nh4-nf3 chemistry 
        | 
        
        
          | 
            
              US20060051966A1
              (en)
            
            
            
           | 
          2004-02-26 | 
          2006-03-09 | 
          Applied Materials, Inc. | 
          In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber 
        | 
        
        
          | 
            
              US7780793B2
              (en)
            
            
            
           | 
          2004-02-26 | 
          2010-08-24 | 
          Applied Materials, Inc. | 
          Passivation layer formation by plasma clean process to reduce native oxide growth 
        | 
        
        
          | 
            
              US7407893B2
              (en)
            
            
            
           | 
          2004-03-05 | 
          2008-08-05 | 
          Applied Materials, Inc. | 
          Liquid precursors for the CVD deposition of amorphous carbon films 
        | 
        
        
          | 
            
              US8037896B2
              (en)
            
            
            
           | 
          2004-03-09 | 
          2011-10-18 | 
          Mks Instruments, Inc. | 
          Pressure regulation in remote zones 
        | 
        
        
          | 
            
              US7196342B2
              (en)
            
            
            
           | 
          2004-03-10 | 
          2007-03-27 | 
          Cymer, Inc. | 
          Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source 
        | 
        
        
          | 
            
              US7682985B2
              (en)
            
            
            
           | 
          2004-03-17 | 
          2010-03-23 | 
          Lam Research Corporation | 
          Dual doped polysilicon and silicon germanium etch 
        | 
        
        
          | 
            
              US7109521B2
              (en)
            
            
            
           | 
          2004-03-18 | 
          2006-09-19 | 
          Cree, Inc. | 
          Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls 
        | 
        
        
          | 
            
              US7582555B1
              (en)
            
            
            
           | 
          2005-12-29 | 
          2009-09-01 | 
          Novellus Systems, Inc. | 
          CVD flowable gap fill 
        | 
        
        
          | 
            
              US7244474B2
              (en)
            
            
            
           | 
          2004-03-26 | 
          2007-07-17 | 
          Applied Materials, Inc. | 
          Chemical vapor deposition plasma process using an ion shower grid 
        | 
        
        
          | 
            
              US7291360B2
              (en)
            
            
            
           | 
          2004-03-26 | 
          2007-11-06 | 
          Applied Materials, Inc. | 
          Chemical vapor deposition plasma process using plural ion shower grids 
        | 
        
        
          | 
            
              US7695590B2
              (en)
            
            
            
           | 
          2004-03-26 | 
          2010-04-13 | 
          Applied Materials, Inc. | 
          Chemical vapor deposition plasma reactor having plural ion shower grids 
        | 
        
        
          | 
            
              US7697260B2
              (en)
            
            
            
           | 
          2004-03-31 | 
          2010-04-13 | 
          Applied Materials, Inc. | 
          Detachable electrostatic chuck 
        | 
        
        
          | 
            
              US7358192B2
              (en)
            
            
            
           | 
          2004-04-08 | 
          2008-04-15 | 
          Applied Materials, Inc. | 
          Method and apparatus for in-situ film stack processing 
        | 
        
        
          | 
            
              US7785672B2
              (en)
            
            
            
           | 
          2004-04-20 | 
          2010-08-31 | 
          Applied Materials, Inc. | 
          Method of controlling the film properties of PECVD-deposited thin films 
        | 
        
        
          | 
            
              US8083853B2
              (en)
            
            
            
           | 
          2004-05-12 | 
          2011-12-27 | 
          Applied Materials, Inc. | 
          Plasma uniformity control by gas diffuser hole design 
        | 
        
        
          | 
            
              US7018941B2
              (en)
            
            
            
           | 
          2004-04-21 | 
          2006-03-28 | 
          Applied Materials, Inc. | 
          Post treatment of low k dielectric films 
        | 
        
        
          | 
            
              TWI249774B
              (en)
            
            
            
           | 
          2004-04-23 | 
          2006-02-21 | 
          Nanya Technology Corp | 
          Forming method of self-aligned contact for semiconductor device 
      | 
        
        
          | 
            
              US7115974B2
              (en)
            
            
            
           | 
          2004-04-27 | 
          2006-10-03 | 
          Taiwan Semiconductor Manfacturing Company, Ltd. | 
          Silicon oxycarbide and silicon carbonitride based materials for MOS devices 
        | 
        
        
          | 
            
              US20050238807A1
              (en)
            
            
            
           | 
          2004-04-27 | 
          2005-10-27 | 
          Applied Materials, Inc. | 
          Refurbishment of a coated chamber component 
        | 
        
        
          | 
            
              US7708859B2
              (en)
            
            
            
           | 
          2004-04-30 | 
          2010-05-04 | 
          Lam Research Corporation | 
          Gas distribution system having fast gas switching capabilities 
        | 
        
        
          | 
            
              US20050266691A1
              (en)
            
            
            
           | 
          2004-05-11 | 
          2005-12-01 | 
          Applied Materials Inc. | 
          Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry 
        | 
        
        
          | 
            
              US8074599B2
              (en)
            
            
            
           | 
          2004-05-12 | 
          2011-12-13 | 
          Applied Materials, Inc. | 
          Plasma uniformity control by gas diffuser curvature 
        | 
        
        
          | 
            
              US8328939B2
              (en)
            
            
            
           | 
          2004-05-12 | 
          2012-12-11 | 
          Applied Materials, Inc. | 
          Diffuser plate with slit valve compensation 
        | 
        
        
          | 
            
              KR101197084B1
              (en)
            
            
            
           | 
          2004-05-21 | 
          2012-11-07 | 
          가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 
          Semiconductor device and manufacturing method thereof 
        | 
        
        
          | 
            
              US7049200B2
              (en)
            
            
            
           | 
          2004-05-25 | 
          2006-05-23 | 
          Applied Materials Inc. | 
          Method for forming a low thermal budget spacer 
        | 
        
        
          | 
            
              KR100624566B1
              (en)
            
            
            
           | 
          2004-05-31 | 
          2006-09-19 | 
          주식회사 하이닉스반도체 | 
          
        Semiconductor device having a fluid insulating film on top of capacitor and its manufacturing method
       
        | 
        
        
          | 
            
              US7651583B2
              (en)
            
            
            
           | 
          2004-06-04 | 
          2010-01-26 | 
          Tokyo Electron Limited | 
          Processing system and method for treating a substrate 
        | 
        
        
          | 
            
              US20050274324A1
              (en)
            
            
            
           | 
          2004-06-04 | 
          2005-12-15 | 
          Tokyo Electron Limited | 
          Plasma processing apparatus and mounting unit thereof 
        | 
        
        
          | 
            
              US7226852B1
              (en)
            
            
            
           | 
          2004-06-10 | 
          2007-06-05 | 
          Lam Research Corporation | 
          Preventing damage to low-k materials during resist stripping 
        | 
        
        
          | 
            
              US7253107B2
              (en)
            
            
            
           | 
          2004-06-17 | 
          2007-08-07 | 
          Asm International N.V. | 
          Pressure control system 
        | 
        
        
          | 
            
              US7122949B2
              (en)
            
            
            
           | 
          2004-06-21 | 
          2006-10-17 | 
          Neocera, Inc. | 
          Cylindrical electron beam generating/triggering device and method for generation of electrons 
        | 
        
        
          | 
            
              US20060005856A1
              (en)
            
            
            
           | 
          2004-06-29 | 
          2006-01-12 | 
          Applied Materials, Inc. | 
          Reduction of reactive gas attack on substrate heater 
        | 
        
        
          | 
            
              US8349128B2
              (en)
            
            
            
           | 
          2004-06-30 | 
          2013-01-08 | 
          Applied Materials, Inc. | 
          Method and apparatus for stable plasma processing 
        | 
        
        
          | 
            
              US20060000802A1
              (en)
            
            
            
           | 
          2004-06-30 | 
          2006-01-05 | 
          Ajay Kumar | 
          Method and apparatus for photomask plasma etching 
        | 
        
        
          | 
            
              US7097779B2
              (en)
            
            
            
           | 
          2004-07-06 | 
          2006-08-29 | 
          Tokyo Electron Limited | 
          Processing system and method for chemically treating a TERA layer 
        | 
        
        
          | 
            
              JP2006049817A
              (en)
            
            
            
           | 
          2004-07-07 | 
          2006-02-16 | 
          Showa Denko Kk | 
          
        Plasma processing method and plasma etching method
       
        | 
        
        
          | 
            
              US7845309B2
              (en)
            
            
            
           | 
          2004-07-13 | 
          2010-12-07 | 
          Nordson Corporation | 
          Ultra high speed uniform plasma processing system 
        | 
        
        
          | 
            
              KR100614648B1
              (en)
            
            
            
           | 
          2004-07-15 | 
          2006-08-23 | 
          삼성전자주식회사 | 
          
        Substrate Processing Apparatus Used for Manufacturing Semiconductor Devices
       
        | 
        
        
          | 
            
              KR100584485B1
              (en)
            
            
            
           | 
          2004-07-20 | 
          2006-05-29 | 
          동부일렉트로닉스 주식회사 | 
          
        How to prevent metal corrosion of semiconductor devices
       
        | 
        
        
          | 
            
              US7767561B2
              (en)
            
            
            
           | 
          2004-07-20 | 
          2010-08-03 | 
          Applied Materials, Inc. | 
          Plasma immersion ion implantation reactor having an ion shower grid 
        | 
        
        
          | 
            
              US20060016783A1
              (en)
            
            
            
           | 
          2004-07-22 | 
          2006-01-26 | 
          Dingjun Wu | 
          Process for titanium nitride removal 
        | 
        
        
          | 
            
              JP4492947B2
              (en)
            
            
            
           | 
          2004-07-23 | 
          2010-06-30 | 
          ルネサスエレクトロニクス株式会社 | 
          
        Manufacturing method of semiconductor device
       
      | 
        
        
          | 
            
              JP4579611B2
              (en)
            
            
            
           | 
          2004-07-26 | 
          2010-11-10 | 
          株式会社日立ハイテクノロジーズ | 
          
        Dry etching method
       
      | 
        
        
          | 
            
              US7217626B2
              (en)
            
            
            
           | 
          2004-07-26 | 
          2007-05-15 | 
          Texas Instruments Incorporated | 
          Transistor fabrication methods using dual sidewall spacers 
        | 
        
        
          | 
            
              US20060021703A1
              (en)
            
            
            
           | 
          2004-07-29 | 
          2006-02-02 | 
          Applied Materials, Inc. | 
          Dual gas faceplate for a showerhead in a semiconductor wafer processing system 
        | 
        
        
          | 
            
              US7192863B2
              (en)
            
            
            
           | 
          2004-07-30 | 
          2007-03-20 | 
          Texas Instruments Incorporated | 
          Method of eliminating etch ridges in a dual damascene process 
        | 
        
        
          | 
            
              US7806077B2
              (en)
            
            
            
           | 
          2004-07-30 | 
          2010-10-05 | 
          Amarante Technologies, Inc. | 
          Plasma nozzle array for providing uniform scalable microwave plasma generation 
        | 
        
        
          | 
            
              WO2006020424A2
              (en)
            
            
            
           | 
          2004-08-02 | 
          2006-02-23 | 
          Veeco Instruments Inc. | 
          Multi-gas distribution injector for chemical vapor deposition reactors 
        | 
        
        
          | 
            
              US20060024954A1
              (en)
            
            
            
           | 
          2004-08-02 | 
          2006-02-02 | 
          Zhen-Cheng Wu | 
          Copper damascene barrier and capping layer 
        | 
        
        
          | 
            
              JP4718141B2
              (en)
            
            
            
           | 
          2004-08-06 | 
          2011-07-06 | 
          東京エレクトロン株式会社 | 
          
        Thin film forming method and thin film forming apparatus
       
      | 
        
        
          | 
            
              US7247570B2
              (en)
            
            
            
           | 
          2004-08-19 | 
          2007-07-24 | 
          Micron Technology, Inc. | 
          Silicon pillars for vertical transistors 
        | 
        
        
          | 
            
              US20060043066A1
              (en)
            
            
            
           | 
          2004-08-26 | 
          2006-03-02 | 
          Kamp Thomas A | 
          Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches 
        | 
        
        
          | 
            
              US20060042752A1
              (en)
            
            
            
           | 
          2004-08-30 | 
          2006-03-02 | 
          Rueger Neal R | 
          Plasma processing apparatuses and methods 
        | 
        
        
          | 
            
              CN101053063B
              (en)
            
            
            
           | 
          2004-09-01 | 
          2012-10-03 | 
          艾克塞利斯技术公司 | 
          
        Apparatus and plasma ashing method for increasing photoresist removal rate
       
        | 
        
        
          | 
            
              US7329576B2
              (en)
            
            
            
           | 
          2004-09-02 | 
          2008-02-12 | 
          Micron Technology, Inc. | 
          Double-sided container capacitors using a sacrificial layer 
        | 
        
        
          | 
            
              US7115525B2
              (en)
            
            
            
           | 
          2004-09-02 | 
          2006-10-03 | 
          Micron Technology, Inc. | 
          Method for integrated circuit fabrication using pitch multiplication 
        | 
        
        
          | 
            
              US20060292846A1
              (en)
            
            
            
           | 
          2004-09-17 | 
          2006-12-28 | 
          Pinto Gustavo A | 
          Material management in substrate processing 
        | 
        
        
          | 
            
              US7138767B2
              (en)
            
            
            
           | 
          2004-09-30 | 
          2006-11-21 | 
          Tokyo Electron Limited | 
          Surface wave plasma processing system and method of using 
        | 
        
        
          | 
            
              US7268084B2
              (en)
            
            
            
           | 
          2004-09-30 | 
          2007-09-11 | 
          Tokyo Electron Limited | 
          Method for treating a substrate 
        | 
        
        
          | 
            
              US7544251B2
              (en)
            
            
            
           | 
          2004-10-07 | 
          2009-06-09 | 
          Applied Materials, Inc. | 
          Method and apparatus for controlling temperature of a substrate 
        | 
        
        
          | 
            
              US7148155B1
              (en)
            
            
            
           | 
          2004-10-26 | 
          2006-12-12 | 
          Novellus Systems, Inc. | 
          Sequential deposition/anneal film densification method 
        | 
        
        
          | 
            
              US7053003B2
              (en)
            
            
            
           | 
          2004-10-27 | 
          2006-05-30 | 
          Lam Research Corporation | 
          Photoresist conditioning with hydrogen ramping 
        | 
        
        
          | 
            
              US20060093756A1
              (en)
            
            
            
           | 
          2004-11-03 | 
          2006-05-04 | 
          Nagarajan Rajagopalan | 
          High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films 
        | 
        
        
          | 
            
              US20060097397A1
              (en)
            
            
            
           | 
          2004-11-10 | 
          2006-05-11 | 
          Russell Stephen W | 
          Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device 
        | 
        
        
          | 
            
              US7618515B2
              (en)
            
            
            
           | 
          2004-11-15 | 
          2009-11-17 | 
          Tokyo Electron Limited | 
          Focus ring, plasma etching apparatus and plasma etching method 
        | 
        
        
          | 
            
              EP1662546A1
              (en)
            
            
            
           | 
          2004-11-25 | 
          2006-05-31 | 
          The European Community, represented by the European Commission | 
          Inductively coupled plasma processing apparatus 
        | 
        
        
          | 
            
              US7052553B1
              (en)
            
            
            
           | 
          2004-12-01 | 
          2006-05-30 | 
          Lam Research Corporation | 
          Wet cleaning of electrostatic chucks 
        | 
        
        
          | 
            
              US7256121B2
              (en)
            
            
            
           | 
          2004-12-02 | 
          2007-08-14 | 
          Texas Instruments Incorporated | 
          Contact resistance reduction by new barrier stack process 
        | 
        
        
          | 
            
              FR2878913B1
              (en)
            
            
            
           | 
          2004-12-03 | 
          2007-01-19 | 
          Cit Alcatel | 
          
        CONTROL OF PARTIAL GAS PRESSURES FOR PROCESS OPTIMIZATION
       
      | 
        
        
          | 
            
              JP2006193822A
              (en)
            
            
            
           | 
          2004-12-16 | 
          2006-07-27 | 
          Sharp Corp | 
          
        Plating apparatus, plating method, semiconductor device, and manufacturing method of semiconductor device
       
        | 
        
        
          | 
            
              US20060130971A1
              (en)
            
            
            
           | 
          2004-12-21 | 
          2006-06-22 | 
          Applied Materials, Inc. | 
          Apparatus for generating plasma by RF power 
        | 
        
        
          | 
            
              JP2006179693A
              (en)
            
            
            
           | 
          2004-12-22 | 
          2006-07-06 | 
          Shin Etsu Chem Co Ltd | 
          
        Electrostatic chuck with heater
       
        | 
        
        
          | 
            
              JP4191137B2
              (en)
            
            
            
           | 
          2004-12-24 | 
          2008-12-03 | 
          東京エレクトロン株式会社 | 
          
  Cleaning method for substrate processing apparatus
 
      | 
        
        
          | 
            
              US7365016B2
              (en)
            
            
            
           | 
          2004-12-27 | 
          2008-04-29 | 
          Dalsa Semiconductor Inc. | 
          Anhydrous HF release of process for MEMS devices 
        | 
        
        
          | 
            
              KR100653722B1
              (en)
            
            
            
           | 
          2005-01-05 | 
          2006-12-05 | 
          삼성전자주식회사 | 
          
        Method for manufacturing a semiconductor device having a low dielectric film
       
        | 
        
        
          | 
            
              US7465953B1
              (en)
            
            
            
           | 
          2005-01-07 | 
          2008-12-16 | 
          Board Of Regents, The University Of Texas System | 
          Positioning of nanoparticles and fabrication of single election devices 
        | 
        
        
          | 
            
              US7253123B2
              (en)
            
            
            
           | 
          2005-01-10 | 
          2007-08-07 | 
          Applied Materials, Inc. | 
          Method for producing gate stack sidewall spacers 
        | 
        
        
          | 
            
              US20060162661A1
              (en)
            
            
            
           | 
          2005-01-22 | 
          2006-07-27 | 
          Applied Materials, Inc. | 
          Mixing energized and non-energized gases for silicon nitride deposition 
        | 
        
        
          | 
            
              US7829243B2
              (en)
            
            
            
           | 
          2005-01-27 | 
          2010-11-09 | 
          Applied Materials, Inc. | 
          Method for plasma etching a chromium layer suitable for photomask fabrication 
        | 
        
        
          | 
            
              GB0502149D0
              (en)
            
            
            
           | 
          2005-02-02 | 
          2005-03-09 | 
          Boc Group Inc | 
          Method of operating a pumping system 
      | 
        
        
          | 
            
              US7341943B2
              (en)
            
            
            
           | 
          2005-02-08 | 
          2008-03-11 | 
          Taiwan Semiconductor Manufacturing Co., Ltd. | 
          Post etch copper cleaning using dry plasma 
        | 
        
        
          | 
            
              JP4475136B2
              (en)
            
            
            
           | 
          2005-02-18 | 
          2010-06-09 | 
          東京エレクトロン株式会社 | 
          
  Processing system, pre-processing apparatus and storage medium
 
      | 
        
        
          | 
            
              US7344912B1
              (en)
            
            
            
           | 
          2005-03-01 | 
          2008-03-18 | 
          Spansion Llc | 
          Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene) 
        | 
        
        
          | 
            
              JP4506677B2
              (en)
            
            
            
           | 
          2005-03-11 | 
          2010-07-21 | 
          東京エレクトロン株式会社 | 
          
        Film forming method, film forming apparatus, and storage medium
       
      | 
        
        
          | 
            
              JP2006261217A
              (en)
            
            
            
           | 
          2005-03-15 | 
          2006-09-28 | 
          Canon Anelva Corp | 
          
        Thin film formation method
       
        | 
        
        
          | 
            
              JP4518986B2
              (en)
            
            
            
           | 
          2005-03-17 | 
          2010-08-04 | 
          東京エレクトロン株式会社 | 
          
        Atmospheric transfer chamber, post-processing transfer method, program, and storage medium
       
      | 
        
        
          | 
            
              US20060252252A1
              (en)
            
            
            
           | 
          2005-03-18 | 
          2006-11-09 | 
          Zhize Zhu | 
          Electroless deposition processes and compositions for forming interconnects 
        | 
        
        
          | 
            
              US20060246217A1
              (en)
            
            
            
           | 
          2005-03-18 | 
          2006-11-02 | 
          Weidman Timothy W | 
          Electroless deposition process on a silicide contact 
        | 
        
        
          | 
            
              US20060210723A1
              (en)
            
            
            
           | 
          2005-03-21 | 
          2006-09-21 | 
          Tokyo Electron Limited | 
          Plasma enhanced atomic layer deposition system and method 
        | 
        
        
          | 
            
              KR100610465B1
              (en)
            
            
            
           | 
          2005-03-25 | 
          2006-08-08 | 
          주식회사 하이닉스반도체 | 
          
        Manufacturing Method of Semiconductor Device
       
        | 
        
        
          | 
            
              US7442274B2
              (en)
            
            
            
           | 
          2005-03-28 | 
          2008-10-28 | 
          Tokyo Electron Limited | 
          Plasma etching method and apparatus therefor 
        | 
        
        
          | 
            
              US20060215347A1
              (en)
            
            
            
           | 
          2005-03-28 | 
          2006-09-28 | 
          Tokyo Electron Limited | 
          Processing apparatus and recording medium 
        | 
        
        
          | 
            
              KR100689826B1
              (en)
            
            
            
           | 
          2005-03-29 | 
          2007-03-08 | 
          삼성전자주식회사 | 
          
        High density plasma chemical vapor deposition methods using fluorine-containing chemical etching gas and methods of manufacturing semiconductor devices using the same
       
        | 
        
        
          | 
            
              US7789962B2
              (en)
            
            
            
           | 
          2005-03-31 | 
          2010-09-07 | 
          Tokyo Electron Limited | 
          Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same 
        | 
        
        
          | 
            
              US20060228889A1
              (en)
            
            
            
           | 
          2005-03-31 | 
          2006-10-12 | 
          Edelberg Erik A | 
          Methods of removing resist from substrates in resist stripping chambers 
        | 
        
        
          | 
            
              US7288482B2
              (en)
            
            
            
           | 
          2005-05-04 | 
          2007-10-30 | 
          International Business Machines Corporation | 
          Silicon nitride etching methods 
        | 
        
        
          | 
            
              US7431856B2
              (en)
            
            
            
           | 
          2005-05-18 | 
          2008-10-07 | 
          National Research Council Of Canada | 
          Nano-tip fabrication by spatially controlled etching 
        | 
        
        
          | 
            
              US20060266288A1
              (en)
            
            
            
           | 
          2005-05-27 | 
          2006-11-30 | 
          Applied Materials, Inc. | 
          High plasma utilization for remote plasma clean 
        | 
        
        
          | 
            
              JP4853857B2
              (en)
            
            
            
           | 
          2005-06-15 | 
          2012-01-11 | 
          東京エレクトロン株式会社 | 
          
        Substrate processing method, computer-readable recording medium, and substrate processing apparatus
       
      | 
        
        
          | 
            
              JP4554461B2
              (en)
            
            
            
           | 
          2005-07-26 | 
          2010-09-29 | 
          株式会社日立ハイテクノロジーズ | 
          
        Manufacturing method of semiconductor device
       
      | 
        
        
          | 
            
              US8535443B2
              (en)
            
            
            
           | 
          2005-07-27 | 
          2013-09-17 | 
          Applied Materials, Inc. | 
          Gas line weldment design and process for CVD aluminum 
        | 
        
        
          | 
            
              US7833381B2
              (en)
            
            
            
           | 
          2005-08-18 | 
          2010-11-16 | 
          David Johnson | 
          Optical emission interferometry for PECVD using a gas injection hole 
        | 
        
        
          | 
            
              DE102006038885B4
              (en)
            
            
            
           | 
          2005-08-24 | 
          2013-10-10 | 
          Wonik Ips Co., Ltd. | 
          
  Method for depositing a Ge-Sb-Te thin film
 
        | 
        
        
          | 
            
              US20070056925A1
              (en)
            
            
            
           | 
          2005-09-09 | 
          2007-03-15 | 
          Lam Research Corporation | 
          Selective etch of films with high dielectric constant with H2 addition 
        | 
        
        
          | 
            
              WO2007035880A2
              (en)
            
            
            
           | 
          2005-09-21 | 
          2007-03-29 | 
          Applied Materials, Inc. | 
          Method and apparatus for forming device features in an integrated electroless deposition system 
        | 
        
        
          | 
            
              DE102005047081B4
              (en)
            
            
            
           | 
          2005-09-30 | 
          2019-01-31 | 
          Robert Bosch Gmbh | 
          
  Process for the plasma-free etching of silicon with the etching gas ClF3 or XeF2
 
        | 
        
        
          | 
            
              US8102123B2
              (en)
            
            
            
           | 
          2005-10-04 | 
          2012-01-24 | 
          Topanga Technologies, Inc. | 
          External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy 
        | 
        
        
          | 
            
              US7438534B2
              (en)
            
            
            
           | 
          2005-10-07 | 
          2008-10-21 | 
          Edwards Vacuum, Inc. | 
          Wide range pressure control using turbo pump 
        | 
        
        
          | 
            
              KR100703014B1
              (en)
            
            
            
           | 
          2005-10-26 | 
          2007-04-06 | 
          삼성전자주식회사 | 
          
        Silicon oxide etchant and method for manufacturing semiconductor device using same
       
        | 
        
        
          | 
            
              US20070099806A1
              (en)
            
            
            
           | 
          2005-10-28 | 
          2007-05-03 | 
          Stewart Michael P | 
          Composition and method for selectively removing native oxide from silicon-containing surfaces 
        | 
        
        
          | 
            
              US7884032B2
              (en)
            
            
            
           | 
          2005-10-28 | 
          2011-02-08 | 
          Applied Materials, Inc. | 
          Thin film deposition 
        | 
        
        
          | 
            
              EP1780779A3
              (en)
            
            
            
           | 
          2005-10-28 | 
          2008-06-11 | 
          Interuniversitair Microelektronica Centrum ( Imec) | 
          A plasma for patterning advanced gate stacks 
        | 
        
        
          | 
            
              US7696101B2
              (en)
            
            
            
           | 
          2005-11-01 | 
          2010-04-13 | 
          Micron Technology, Inc. | 
          Process for increasing feature density during the manufacture of a semiconductor device 
        | 
        
        
          | 
            
              TWI332532B
              (en)
            
            
            
           | 
          2005-11-04 | 
          2010-11-01 | 
          Applied Materials Inc | 
          Apparatus and process for plasma-enhanced atomic layer deposition 
      | 
        
        
          | 
            
              US20070107750A1
              (en)
            
            
            
           | 
          2005-11-14 | 
          2007-05-17 | 
          Sawin Herbert H | 
          Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers 
        | 
        
        
          | 
            
              JP4918778B2
              (en)
            
            
            
           | 
          2005-11-16 | 
          2012-04-18 | 
          株式会社日立製作所 | 
          
        Manufacturing method of semiconductor integrated circuit device
       
      | 
        
        
          | 
            
              US20070117396A1
              (en)
            
            
            
           | 
          2005-11-22 | 
          2007-05-24 | 
          Dingjun Wu | 
          Selective etching of titanium nitride with xenon difluoride 
        | 
        
        
          | 
            
              US7704887B2
              (en)
            
            
            
           | 
          2005-11-22 | 
          2010-04-27 | 
          Applied Materials, Inc. | 
          Remote plasma pre-clean with low hydrogen pressure 
        | 
        
        
          | 
            
              US7405160B2
              (en)
            
            
            
           | 
          2005-12-13 | 
          2008-07-29 | 
          Tokyo Electron Limited | 
          Method of making semiconductor device 
        | 
        
        
          | 
            
              US7662723B2
              (en)
            
            
            
           | 
          2005-12-13 | 
          2010-02-16 | 
          Lam Research Corporation | 
          Methods and apparatus for in-situ substrate processing 
        | 
        
        
          | 
            
              US7449538B2
              (en)
            
            
            
           | 
          2005-12-30 | 
          2008-11-11 | 
          Hynix Semiconductor Inc. | 
          Hard mask composition and method for manufacturing semiconductor device 
        | 
        
        
          | 
            
              KR100712727B1
              (en)
            
            
            
           | 
          2006-01-26 | 
          2007-05-04 | 
          주식회사 아토 | 
          
        Shower head with insulator
       
        | 
        
        
          | 
            
              JP2007191792A
              (en)
            
            
            
           | 
          2006-01-19 | 
          2007-08-02 | 
          Atto Co Ltd | 
          Gas separation type showerhead 
        | 
        
        
          | 
            
              US8173228B2
              (en)
            
            
            
           | 
          2006-01-27 | 
          2012-05-08 | 
          Applied Materials, Inc. | 
          Particle reduction on surfaces of chemical vapor deposition processing apparatus 
        | 
        
        
          | 
            
              US7494545B2
              (en)
            
            
            
           | 
          2006-02-03 | 
          2009-02-24 | 
          Applied Materials, Inc. | 
          Epitaxial deposition process and apparatus 
        | 
        
        
          | 
            
              KR100785164B1
              (en)
            
            
            
           | 
          2006-02-04 | 
          2007-12-11 | 
          위순임 | 
          
        Multiple output remote plasma generator and substrate processing system having same
       
        | 
        
        
          | 
            
              KR100752622B1
              (en)
            
            
            
           | 
          2006-02-17 | 
          2007-08-30 | 
          한양대학교 산학협력단 | 
          
        Remote Plasma Generator
       
        | 
        
        
          | 
            
              CN101378850A
              (en)
            
            
            
           | 
          2006-02-21 | 
          2009-03-04 | 
          应用材料股份有限公司 | 
          
        Enhanced Remote Plasma Source Cleaning for Dielectric Coatings
       
        | 
        
        
          | 
            
              US20070207275A1
              (en)
            
            
            
           | 
          2006-02-21 | 
          2007-09-06 | 
          Applied Materials, Inc. | 
          Enhancement of remote plasma source clean for dielectric films 
        | 
        
        
          | 
            
              RU2008140382A
              (en)
            
            
            
           | 
          2006-03-16 | 
          2010-04-27 | 
          Новартис АГ (CH) | 
          
  HETEROCYCLIC ORGANIC COMPOUNDS, FOR example, FOR THE TREATMENT OF MELANOMA
 
      | 
        
        
          | 
            
              US7977245B2
              (en)
            
            
            
           | 
          2006-03-22 | 
          2011-07-12 | 
          Applied Materials, Inc. | 
          Methods for etching a dielectric barrier layer with high selectivity 
        | 
        
        
          | 
            
              US7381651B2
              (en)
            
            
            
           | 
          2006-03-22 | 
          2008-06-03 | 
          Axcelis Technologies, Inc. | 
          Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process 
        | 
        
        
          | 
            
              WO2007112454A2
              (en)
            
            
            
           | 
          2006-03-28 | 
          2007-10-04 | 
          Stratusys Inc. | 
          Apparatus and method for processing substrates using one or more vacuum transfer chamber units 
        | 
        
        
          | 
            
              US8343280B2
              (en)
            
            
            
           | 
          2006-03-28 | 
          2013-01-01 | 
          Tokyo Electron Limited | 
          Multi-zone substrate temperature control system and method of operating 
        | 
        
        
          | 
            
              US7906032B2
              (en)
            
            
            
           | 
          2006-03-31 | 
          2011-03-15 | 
          Tokyo Electron Limited | 
          Method for conditioning a process chamber 
        | 
        
        
          | 
            
              JP5042517B2
              (en)
            
            
            
           | 
          2006-04-10 | 
          2012-10-03 | 
          ルネサスエレクトロニクス株式会社 | 
          
        Manufacturing method of semiconductor device
       
      | 
        
        
          | 
            
              CN100539080C
              (en)
            
            
            
           | 
          2006-04-12 | 
          2009-09-09 | 
          中芯国际集成电路制造(上海)有限公司 | 
          Form the method for multi-crystal silicon floating bar structure by autoregistration 
        | 
        
        
          | 
            
              US20070243714A1
              (en)
            
            
            
           | 
          2006-04-18 | 
          2007-10-18 | 
          Applied Materials, Inc. | 
          Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step 
        | 
        
        
          | 
            
              US7488685B2
              (en)
            
            
            
           | 
          2006-04-25 | 
          2009-02-10 | 
          Micron Technology, Inc. | 
          Process for improving critical dimension uniformity of integrated circuit arrays 
        | 
        
        
          | 
            
              US8226769B2
              (en)
            
            
            
           | 
          2006-04-27 | 
          2012-07-24 | 
          Applied Materials, Inc. | 
          Substrate support with electrostatic chuck having dual temperature zones 
        | 
        
        
          | 
            
              US20070254169A1
              (en)
            
            
            
           | 
          2006-04-28 | 
          2007-11-01 | 
          Kamins Theodore I | 
          Structures including organic self-assembled monolayers and methods of making the structures 
        | 
        
        
          | 
            
              US7297564B1
              (en)
            
            
            
           | 
          2006-05-02 | 
          2007-11-20 | 
          Sharp Laboratories Of America, Inc. | 
          Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors 
        | 
        
        
          | 
            
              US7601607B2
              (en)
            
            
            
           | 
          2006-05-15 | 
          2009-10-13 | 
          Chartered Semiconductor Manufacturing, Ltd. | 
          Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects 
        | 
        
        
          | 
            
              JP5578389B2
              (en)
            
            
            
           | 
          2006-05-16 | 
          2014-08-27 | 
          Nltテクノロジー株式会社 | 
          
        Laminated film pattern forming method and gate electrode forming method
       
      | 
        
        
          | 
            
              US20070266946A1
              (en)
            
            
            
           | 
          2006-05-22 | 
          2007-11-22 | 
          Byung-Chul Choi | 
          Semiconductor device manufacturing apparatus and method of using the same 
        | 
        
        
          | 
            
              US7790634B2
              (en)
            
            
            
           | 
          2006-05-30 | 
          2010-09-07 | 
          Applied Materials, Inc | 
          Method for depositing and curing low-k films for gapfill and conformal film applications 
        | 
        
        
          | 
            
              US7825038B2
              (en)
            
            
            
           | 
          2006-05-30 | 
          2010-11-02 | 
          Applied Materials, Inc. | 
          Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen 
        | 
        
        
          | 
            
              US20070277734A1
              (en)
            
            
            
           | 
          2006-05-30 | 
          2007-12-06 | 
          Applied Materials, Inc. | 
          Process chamber for dielectric gapfill 
        | 
        
        
          | 
            
              US20070281106A1
              (en)
            
            
            
           | 
          2006-05-30 | 
          2007-12-06 | 
          Applied Materials, Inc. | 
          Process chamber for dielectric gapfill 
        | 
        
        
          | 
            
              US7665951B2
              (en)
            
            
            
           | 
          2006-06-02 | 
          2010-02-23 | 
          Applied Materials, Inc. | 
          Multiple slot load lock chamber and method of operation 
        | 
        
        
          | 
            
              US7932181B2
              (en)
            
            
            
           | 
          2006-06-20 | 
          2011-04-26 | 
          Lam Research Corporation | 
          Edge gas injection for critical dimension uniformity improvement 
        | 
        
        
          | 
            
              US20070296967A1
              (en)
            
            
            
           | 
          2006-06-27 | 
          2007-12-27 | 
          Bhupendra Kumra Gupta | 
          Analysis of component for presence, composition and/or thickness of coating 
        | 
        
        
          | 
            
              US7416989B1
              (en)
            
            
            
           | 
          2006-06-30 | 
          2008-08-26 | 
          Novellus Systems, Inc. | 
          Adsorption based material removal process 
        | 
        
        
          | 
            
              US7618889B2
              (en)
            
            
            
           | 
          2006-07-18 | 
          2009-11-17 | 
          Applied Materials, Inc. | 
          Dual damascene fabrication with low k materials 
        | 
        
        
          | 
            
              US9275887B2
              (en)
            
            
            
           | 
          2006-07-20 | 
          2016-03-01 | 
          Applied Materials, Inc. | 
          Substrate processing with rapid temperature gradient control 
        | 
        
        
          | 
            
              US20080124937A1
              (en)
            
            
            
           | 
          2006-08-16 | 
          2008-05-29 | 
          Songlin Xu | 
          Selective etching method and apparatus 
        | 
        
        
          | 
            
              KR100818708B1
              (en)
            
            
            
           | 
          2006-08-18 | 
          2008-04-01 | 
          주식회사 하이닉스반도체 | 
          
        Method of manufacturing semiconductor device including surface cleaning
       
        | 
        
        
          | 
            
              US20080063810A1
              (en)
            
            
            
           | 
          2006-08-23 | 
          2008-03-13 | 
          Applied Materials, Inc. | 
          In-situ process state monitoring of chamber 
        | 
        
        
          | 
            
              US8110787B1
              (en)
            
            
            
           | 
          2006-08-23 | 
          2012-02-07 | 
          ON Semiconductor Trading, Ltd | 
          Image sensor with a reflective waveguide 
        | 
        
        
          | 
            
              US7575007B2
              (en)
            
            
            
           | 
          2006-08-23 | 
          2009-08-18 | 
          Applied Materials, Inc. | 
          Chamber recovery after opening barrier over copper 
        | 
        
        
          | 
            
              US7611980B2
              (en)
            
            
            
           | 
          2006-08-30 | 
          2009-11-03 | 
          Micron Technology, Inc. | 
          Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures 
        | 
        
        
          | 
            
              US7452766B2
              (en)
            
            
            
           | 
          2006-08-31 | 
          2008-11-18 | 
          Micron Technology, Inc. | 
          Finned memory cells and the fabrication thereof 
        | 
        
        
          | 
            
              US20080075668A1
              (en)
            
            
            
           | 
          2006-09-27 | 
          2008-03-27 | 
          Goldstein Alan H | 
          Security Device Using Reversibly Self-Assembling Systems 
        | 
        
        
          | 
            
              CN101153396B
              (en)
            
            
            
           | 
          2006-09-30 | 
          2010-06-09 | 
          中芯国际集成电路制造(上海)有限公司 | 
          Plasma etching method 
        | 
        
        
          | 
            
              JP2008103645A
              (en)
            
            
            
           | 
          2006-10-20 | 
          2008-05-01 | 
          Toshiba Corp | 
          Production method of semiconductor device 
        | 
        
        
          | 
            
              US7655571B2
              (en)
            
            
            
           | 
          2006-10-26 | 
          2010-02-02 | 
          Applied Materials, Inc. | 
          Integrated method and apparatus for efficient removal of halogen residues from etched substrates 
        | 
        
        
          | 
            
              US20080099147A1
              (en)
            
            
            
           | 
          2006-10-26 | 
          2008-05-01 | 
          Nyi Oo Myo | 
          Temperature controlled multi-gas distribution assembly 
        | 
        
        
          | 
            
              JP2008109043A
              (en)
            
            
            
           | 
          2006-10-27 | 
          2008-05-08 | 
          Oki Electric Ind Co Ltd | 
          Semiconductor device manufacturing method and semiconductor device 
        | 
        
        
          | 
            
              US8002946B2
              (en)
            
            
            
           | 
          2006-10-30 | 
          2011-08-23 | 
          Applied Materials, Inc. | 
          Mask etch plasma reactor with cathode providing a uniform distribution of etch rate 
        | 
        
        
          | 
            
              US7943005B2
              (en)
            
            
            
           | 
          2006-10-30 | 
          2011-05-17 | 
          Applied Materials, Inc. | 
          Method and apparatus for photomask plasma etching 
        | 
        
        
          | 
            
              US20080102640A1
              (en)
            
            
            
           | 
          2006-10-30 | 
          2008-05-01 | 
          Applied Materials, Inc. | 
          Etching oxide with high selectivity to titanium nitride 
        | 
        
        
          | 
            
              US7880232B2
              (en)
            
            
            
           | 
          2006-11-01 | 
          2011-02-01 | 
          Micron Technology, Inc. | 
          Processes and apparatus having a semiconductor fin 
        | 
        
        
          | 
            
              US7725974B2
              (en)
            
            
            
           | 
          2006-11-02 | 
          2010-06-01 | 
          Hughes Randall L | 
          Shoe and foot cleaning and disinfecting system 
        | 
        
        
          | 
            
              US7939422B2
              (en)
            
            
            
           | 
          2006-12-07 | 
          2011-05-10 | 
          Applied Materials, Inc. | 
          Methods of thin film process 
        | 
        
        
          | 
            
              JP2010512650A
              (en)
            
            
            
           | 
          2006-12-11 | 
          2010-04-22 | 
          アプライド  マテリアルズ  インコーポレイテッド | 
          
        Dry photoresist removal process and equipment
       
        | 
        
        
          | 
            
              TWM318795U
              (en)
            
            
            
           | 
          2006-12-18 | 
          2007-09-11 | 
          Lighthouse Technology Co Ltd | 
          Package structure 
      | 
        
        
          | 
            
              WO2008074672A1
              (en)
            
            
            
           | 
          2006-12-20 | 
          2008-06-26 | 
          Nxp B.V. | 
          Improving adhesion of diffusion barrier on cu containing interconnect element 
        | 
        
        
          | 
            
              US7922863B2
              (en)
            
            
            
           | 
          2006-12-22 | 
          2011-04-12 | 
          Applied Materials, Inc. | 
          Apparatus for integrated gas and radiation delivery 
        | 
        
        
          | 
            
              JP5229711B2
              (en)
            
            
            
           | 
          2006-12-25 | 
          2013-07-03 | 
          国立大学法人名古屋大学 | 
          
        Pattern forming method and semiconductor device manufacturing method
       
      | 
        
        
          | 
            
              US20080157225A1
              (en)
            
            
            
           | 
          2006-12-29 | 
          2008-07-03 | 
          Suman Datta | 
          SRAM and logic transistors with variable height multi-gate transistor architecture 
        | 
        
        
          | 
            
              KR20080063988A
              (en)
            
            
            
           | 
          2007-01-03 | 
          2008-07-08 | 
          삼성전자주식회사 | 
          
        Etching Device Using Neutral Beam
       
        | 
        
        
          | 
            
              US8097105B2
              (en)
            
            
            
           | 
          2007-01-11 | 
          2012-01-17 | 
          Lam Research Corporation | 
          Extending lifetime of yttrium oxide as a plasma chamber material 
        | 
        
        
          | 
            
              JP4421618B2
              (en)
            
            
            
           | 
          2007-01-17 | 
          2010-02-24 | 
          東京エレクトロン株式会社 | 
          
        Manufacturing method of fin-type field effect transistor
       
      | 
        
        
          | 
            
              US7728364B2
              (en)
            
            
            
           | 
          2007-01-19 | 
          2010-06-01 | 
          International Business Machines Corporation | 
          Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation 
        | 
        
        
          | 
            
              JP4299863B2
              (en)
            
            
            
           | 
          2007-01-22 | 
          2009-07-22 | 
          エルピーダメモリ株式会社 | 
          
  Manufacturing method of semiconductor device
 
      | 
        
        
          | 
            
              US8444926B2
              (en)
            
            
            
           | 
          2007-01-30 | 
          2013-05-21 | 
          Applied Materials, Inc. | 
          Processing chamber with heated chamber liner 
        | 
        
        
          | 
            
              KR100878015B1
              (en)
            
            
            
           | 
          2007-01-31 | 
          2009-01-13 | 
          삼성전자주식회사 | 
          
        Oxide removal method and trench filling method
       
        | 
        
        
          | 
            
              JP5048352B2
              (en)
            
            
            
           | 
          2007-01-31 | 
          2012-10-17 | 
          東京エレクトロン株式会社 | 
          
        Substrate processing method and substrate processing apparatus
       
      | 
        
        
          | 
            
              CN100577866C
              (en)
            
            
            
           | 
          2007-02-27 | 
          2010-01-06 | 
          中微半导体设备(上海)有限公司 | 
          
        Gas shower head assembly used in plasma reaction chamber, its manufacturing method and its refurbishment and reuse method
       
        | 
        
        
          | 
            
              US20080202892A1
              (en)
            
            
            
           | 
          2007-02-27 | 
          2008-08-28 | 
          Smith John M | 
          Stacked process chambers for substrate vacuum processing tool 
        | 
        
        
          | 
            
              US20080216901A1
              (en)
            
            
            
           | 
          2007-03-06 | 
          2008-09-11 | 
          Mks Instruments, Inc. | 
          Pressure control for vacuum processing system 
        | 
        
        
          | 
            
              US20080216958A1
              (en)
            
            
            
           | 
          2007-03-07 | 
          2008-09-11 | 
          Novellus Systems, Inc. | 
          Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same 
        | 
        
        
          | 
            
              JP2010521820A
              (en)
            
            
            
           | 
          2007-03-12 | 
          2010-06-24 | 
          東京エレクトロン株式会社 | 
          
  Dynamic temperature backside gas control to improve process uniformity within the substrate
 
        | 
        
        
          | 
            
              KR100853485B1
              (en)
            
            
            
           | 
          2007-03-19 | 
          2008-08-21 | 
          주식회사 하이닉스반도체 | 
          
        Method of manufacturing semiconductor device having recess gate
       
        | 
        
        
          | 
            
              US20080233709A1
              (en)
            
            
            
           | 
          2007-03-22 | 
          2008-09-25 | 
          Infineon Technologies North America Corp. | 
          Method for removing material from a semiconductor 
        | 
        
        
          | 
            
              US7815814B2
              (en)
            
            
            
           | 
          2007-03-23 | 
          2010-10-19 | 
          Tokyo Electron Limited | 
          Method and system for dry etching a metal nitride 
        | 
        
        
          | 
            
              JP4988402B2
              (en)
            
            
            
           | 
          2007-03-30 | 
          2012-08-01 | 
          株式会社日立ハイテクノロジーズ | 
          
        Plasma processing equipment
       
      | 
        
        
          | 
            
              US8235001B2
              (en)
            
            
            
           | 
          2007-04-02 | 
          2012-08-07 | 
          Hitachi Kokusai Electric Inc. | 
          Substrate processing apparatus and method for manufacturing semiconductor device 
        | 
        
        
          | 
            
              WO2008129977A1
              (en)
            
            
            
           | 
          2007-04-17 | 
          2008-10-30 | 
          Ulvac, Inc. | 
          Film forming apparatus 
        | 
        
        
          | 
            
              JP5282419B2
              (en)
            
            
            
           | 
          2007-04-18 | 
          2013-09-04 | 
          ソニー株式会社 | 
          
        Semiconductor device and manufacturing method thereof
       
      | 
        
        
          | 
            
              JP5135879B2
              (en)
            
            
            
           | 
          2007-05-21 | 
          2013-02-06 | 
          富士電機株式会社 | 
          
        Method for manufacturing silicon carbide semiconductor device
       
      | 
        
        
          | 
            
              KR100777043B1
              (en)
            
            
            
           | 
          2007-05-22 | 
          2007-11-16 | 
          주식회사 테스 | 
          
        Amorphous carbon film formation method and semiconductor device manufacturing method using same
       
        | 
        
        
          | 
            
              US8084105B2
              (en)
            
            
            
           | 
          2007-05-23 | 
          2011-12-27 | 
          Applied Materials, Inc. | 
          Method of depositing boron nitride and boron nitride-derived materials 
        | 
        
        
          | 
            
              US7942969B2
              (en)
            
            
            
           | 
          2007-05-30 | 
          2011-05-17 | 
          Applied Materials, Inc. | 
          Substrate cleaning chamber and components 
        | 
        
        
          | 
            
              US7807578B2
              (en)
            
            
            
           | 
          2007-06-01 | 
          2010-10-05 | 
          Applied Materials, Inc. | 
          Frequency doubling using spacer mask 
        | 
        
        
          | 
            
              US20090004873A1
              (en)
            
            
            
           | 
          2007-06-26 | 
          2009-01-01 | 
          Intevac, Inc. | 
          Hybrid etch chamber with decoupled plasma controls 
        | 
        
        
          | 
            
              US7585716B2
              (en)
            
            
            
           | 
          2007-06-27 | 
          2009-09-08 | 
          International Business Machines Corporation | 
          High-k/metal gate MOSFET with reduced parasitic capacitance 
        | 
        
        
          | 
            
              JP5008478B2
              (en)
            
            
            
           | 
          2007-06-27 | 
          2012-08-22 | 
          東京エレクトロン株式会社 | 
          
  Substrate processing apparatus and shower head
 
      | 
        
        
          | 
            
              KR100877107B1
              (en)
            
            
            
           | 
          2007-06-28 | 
          2009-01-07 | 
          주식회사 하이닉스반도체 | 
          
        Method of forming interlayer insulating film of semiconductor device
       
        | 
        
        
          | 
            
              US20090000641A1
              (en)
            
            
            
           | 
          2007-06-28 | 
          2009-01-01 | 
          Applied Materials, Inc. | 
          Methods and apparatus for cleaning deposition chamber parts using selective spray etch 
        | 
        
        
          | 
            
              JP4438008B2
              (en)
            
            
            
           | 
          2007-06-29 | 
          2010-03-24 | 
          東京エレクトロン株式会社 | 
          
  Substrate processing equipment
 
      | 
        
        
          | 
            
              US8021514B2
              (en)
            
            
            
           | 
          2007-07-11 | 
          2011-09-20 | 
          Applied Materials, Inc. | 
          Remote plasma source for pre-treatment of substrates prior to deposition 
        | 
        
        
          | 
            
              US8197636B2
              (en)
            
            
            
           | 
          2007-07-12 | 
          2012-06-12 | 
          Applied Materials, Inc. | 
          Systems for plasma enhanced chemical vapor deposition and bevel edge etching 
        | 
        
        
          | 
            
              JP5660753B2
              (en)
            
            
            
           | 
          2007-07-13 | 
          2015-01-28 | 
          アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 
          
        High temperature cathode for plasma etching
       
      | 
        
        
          | 
            
              DE102007033685A1
              (en)
            
            
            
           | 
          2007-07-19 | 
          2009-01-22 | 
          Robert Bosch Gmbh | 
          
  A method of etching a layer on a silicon semiconductor substrate
 
        | 
        
        
          | 
            
              US8488971B2
              (en)
            
            
            
           | 
          2007-07-19 | 
          2013-07-16 | 
          Koninklijke Philips N.V. | 
          Method, system and device for transmitting lighting device data 
        | 
        
        
          | 
            
              US8008166B2
              (en)
            
            
            
           | 
          2007-07-26 | 
          2011-08-30 | 
          Applied Materials, Inc. | 
          Method and apparatus for cleaning a substrate surface 
        | 
        
        
          | 
            
              EP2042516A1
              (en)
            
            
            
           | 
          2007-09-27 | 
          2009-04-01 | 
          Protaffin Biotechnologie AG | 
          Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same 
        | 
        
        
          | 
            
              US8367227B2
              (en)
            
            
            
           | 
          2007-08-02 | 
          2013-02-05 | 
          Applied Materials, Inc. | 
          Plasma-resistant ceramics with controlled electrical resistivity 
        | 
        
        
          | 
            
              KR101190074B1
              (en)
            
            
            
           | 
          2007-08-31 | 
          2012-10-11 | 
          도쿄엘렉트론가부시키가이샤 | 
          Semiconductor device manufacturing method 
        | 
        
        
          | 
            
              JP5347294B2
              (en)
            
            
            
           | 
          2007-09-12 | 
          2013-11-20 | 
          東京エレクトロン株式会社 | 
          
        Film forming apparatus, film forming method, and storage medium
       
      | 
        
        
          | 
            
              US7781332B2
              (en)
            
            
            
           | 
          2007-09-19 | 
          2010-08-24 | 
          International Business Machines Corporation | 
          Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer 
        | 
        
        
          | 
            
              JP5194125B2
              (en)
            
            
            
           | 
          2007-09-25 | 
          2013-05-08 | 
          ラム  リサーチ  コーポレーション | 
          
  Temperature control module for showerhead electrode assembly, showerhead electrode assembly and method for controlling temperature of upper electrode of showerhead electrode assembly
 
      | 
        
        
          | 
            
              US20090084317A1
              (en)
            
            
            
           | 
          2007-09-28 | 
          2009-04-02 | 
          Applied Materials, Inc. | 
          Atomic layer deposition chamber and components 
        | 
        
        
          | 
            
              US8298931B2
              (en)
            
            
            
           | 
          2007-09-28 | 
          2012-10-30 | 
          Sandisk 3D Llc | 
          Dual damascene with amorphous carbon for 3D deep via/trench application 
        | 
        
        
          | 
            
              US7838361B2
              (en)
            
            
            
           | 
          2007-09-28 | 
          2010-11-23 | 
          Hynix Semiconductor Inc. | 
          Method for fabricating recess gate in semiconductor device 
        | 
        
        
          | 
            
              EP2215282B1
              (en)
            
            
            
           | 
          2007-10-11 | 
          2016-11-30 | 
          Valence Process Equipment, Inc. | 
          Chemical vapor deposition reactor 
        | 
        
        
          | 
            
              US7838390B2
              (en)
            
            
            
           | 
          2007-10-12 | 
          2010-11-23 | 
          Samsung Electronics Co., Ltd. | 
          Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein 
        | 
        
        
          | 
            
              US7976631B2
              (en)
            
            
            
           | 
          2007-10-16 | 
          2011-07-12 | 
          Applied Materials, Inc. | 
          Multi-gas straight channel showerhead 
        | 
        
        
          | 
            
              US8252696B2
              (en)
            
            
            
           | 
          2007-10-22 | 
          2012-08-28 | 
          Applied Materials, Inc. | 
          Selective etching of silicon nitride 
        | 
        
        
          | 
            
              US7871926B2
              (en)
            
            
            
           | 
          2007-10-22 | 
          2011-01-18 | 
          Applied Materials, Inc. | 
          Methods and systems for forming at least one dielectric layer 
        | 
        
        
          | 
            
              TWI508129B
              (en)
            
            
            
           | 
          2007-10-31 | 
          2015-11-11 | 
          Lam Res Corp | 
          Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body 
        | 
        
        
          | 
            
              CN101889329B
              (en)
            
            
            
           | 
          2007-10-31 | 
          2012-07-04 | 
          朗姆研究公司 | 
          High lifetime consumable silicon nitride-silicon dioxide plasma processing components 
        | 
        
        
          | 
            
              CN104037065A
              (en)
            
            
            
           | 
          2007-11-08 | 
          2014-09-10 | 
          朗姆研究公司 | 
          Pitch reduction using oxide spacer 
        | 
        
        
          | 
            
              US7964040B2
              (en)
            
            
            
           | 
          2007-11-08 | 
          2011-06-21 | 
          Applied Materials, Inc. | 
          Multi-port pumping system for substrate processing chambers 
        | 
        
        
          | 
            
              JP5172617B2
              (en)
            
            
            
           | 
          2007-11-12 | 
          2013-03-27 | 
          シャープ株式会社 | 
          
        Vapor growth apparatus and vapor growth method
       
      | 
        
        
          | 
            
              US7704849B2
              (en)
            
            
            
           | 
          2007-12-03 | 
          2010-04-27 | 
          Micron Technology, Inc. | 
          Methods of forming trench isolation in silicon of a semiconductor substrate by plasma 
        | 
        
        
          | 
            
              DE202008016190U1
              (en)
            
            
            
           | 
          2007-12-04 | 
          2009-03-19 | 
          Parabel Ag | 
          
        Multilayer solar element
       
        | 
        
        
          | 
            
              US8187486B1
              (en)
            
            
            
           | 
          2007-12-13 | 
          2012-05-29 | 
          Novellus Systems, Inc. | 
          Modulating etch selectivity and etch rate of silicon nitride thin films 
        | 
        
        
          | 
            
              US8512509B2
              (en)
            
            
            
           | 
          2007-12-19 | 
          2013-08-20 | 
          Applied Materials, Inc. | 
          Plasma reactor gas distribution plate with radially distributed path splitting manifold 
        | 
        
        
          | 
            
              US8129029B2
              (en)
            
            
            
           | 
          2007-12-21 | 
          2012-03-06 | 
          Applied Materials, Inc. | 
          Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating 
        | 
        
        
          | 
            
              US7989329B2
              (en)
            
            
            
           | 
          2007-12-21 | 
          2011-08-02 | 
          Applied Materials, Inc. | 
          Removal of surface dopants from a substrate 
        | 
        
        
          | 
            
              CN101903996B
              (en)
            
            
            
           | 
          2007-12-21 | 
          2013-04-03 | 
          应用材料公司 | 
          Method and apparatus for controlling temperature of a substrate 
        | 
        
        
          | 
            
              US20090170331A1
              (en)
            
            
            
           | 
          2007-12-27 | 
          2009-07-02 | 
          International Business Machines Corporation | 
          Method of forming a bottle-shaped trench by ion implantation 
        | 
        
        
          | 
            
              US7910477B2
              (en)
            
            
            
           | 
          2007-12-28 | 
          2011-03-22 | 
          Texas Instruments Incorporated | 
          Etch residue reduction by ash methodology 
        | 
        
        
          | 
            
              WO2009084194A1
              (en)
            
            
            
           | 
          2007-12-28 | 
          2009-07-09 | 
          Tokyo Electron Limited | 
          Etching method for metal film and metal oxide film, and manufacturing method for semiconductor device 
        | 
        
        
          | 
            
              US8018023B2
              (en)
            
            
            
           | 
          2008-01-14 | 
          2011-09-13 | 
          Kabushiki Kaisha Toshiba | 
          Trench sidewall protection by a carbon-rich layer in a semiconductor device 
        | 
        
        
          | 
            
              TW200933812A
              (en)
            
            
            
           | 
          2008-01-30 | 
          2009-08-01 | 
          Promos Technologies Inc | 
          Process for forming trench isolation structure and semiconductor device produced thereby 
      | 
        
        
          | 
            
              US20090194810A1
              (en)
            
            
            
           | 
          2008-01-31 | 
          2009-08-06 | 
          Masahiro Kiyotoshi | 
          Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof 
        | 
        
        
          | 
            
              US20090214825A1
              (en)
            
            
            
           | 
          2008-02-26 | 
          2009-08-27 | 
          Applied Materials, Inc. | 
          Ceramic coating comprising yttrium which is resistant to a reducing plasma 
        | 
        
        
          | 
            
              TWI478275B
              (en)
            
            
            
           | 
          2008-02-26 | 
          2015-03-21 | 
          Kyocera Corp | 
          
  A wafer support portion and a method of manufacturing the same, and an electrostatic chuck using the same
 
        | 
        
        
          | 
            
              US9520275B2
              (en)
            
            
            
           | 
          2008-03-21 | 
          2016-12-13 | 
          Tokyo Electron Limited | 
          Mono-energetic neutral beam activated chemical processing system and method of using 
        | 
        
        
          | 
            
              JP5352103B2
              (en)
            
            
            
           | 
          2008-03-27 | 
          2013-11-27 | 
          東京エレクトロン株式会社 | 
          
        Heat treatment apparatus and treatment system
       
      | 
        
        
          | 
            
              DE102008016425B4
              (en)
            
            
            
           | 
          2008-03-31 | 
          2015-11-19 | 
          Advanced Micro Devices, Inc. | 
          
  A method of patterning a metallization layer by reducing degradation of the dielectric material caused by resist removal
 
        | 
        
        
          | 
            
              US20090258162A1
              (en)
            
            
            
           | 
          2008-04-12 | 
          2009-10-15 | 
          Applied Materials, Inc. | 
          Plasma processing apparatus and method 
        | 
        
        
          | 
            
              JP2009266952A
              (en)
            
            
            
           | 
          2008-04-23 | 
          2009-11-12 | 
          Seiko Epson Corp | 
          Method for manufacturing and manufacturing apparatus for device 
        | 
        
        
          | 
            
              US7977246B2
              (en)
            
            
            
           | 
          2008-04-25 | 
          2011-07-12 | 
          Applied Materials, Inc. | 
          Thermal annealing method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere 
        | 
        
        
          | 
            
              US8252194B2
              (en)
            
            
            
           | 
          2008-05-02 | 
          2012-08-28 | 
          Micron Technology, Inc. | 
          Methods of removing silicon oxide 
        | 
        
        
          | 
            
              US20090274590A1
              (en)
            
            
            
           | 
          2008-05-05 | 
          2009-11-05 | 
          Applied Materials, Inc. | 
          Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed 
        | 
        
        
          | 
            
              US20090275206A1
              (en)
            
            
            
           | 
          2008-05-05 | 
          2009-11-05 | 
          Applied Materials, Inc. | 
          Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias 
        | 
        
        
          | 
            
              US20090277874A1
              (en)
            
            
            
           | 
          2008-05-09 | 
          2009-11-12 | 
          Applied Materials, Inc. | 
          Method and apparatus for removing polymer from a substrate 
        | 
        
        
          | 
            
              US20090277587A1
              (en)
            
            
            
           | 
          2008-05-09 | 
          2009-11-12 | 
          Applied Materials, Inc. | 
          Flowable dielectric equipment and processes 
        | 
        
        
          | 
            
              US8357435B2
              (en)
            
            
            
           | 
          2008-05-09 | 
          2013-01-22 | 
          Applied Materials, Inc. | 
          Flowable dielectric equipment and processes 
        | 
        
        
          | 
            
              US8277670B2
              (en)
            
            
            
           | 
          2008-05-13 | 
          2012-10-02 | 
          Lam Research Corporation | 
          Plasma process with photoresist mask pretreatment 
        | 
        
        
          | 
            
              KR100998011B1
              (en)
            
            
            
           | 
          2008-05-22 | 
          2010-12-03 | 
          삼성엘이디 주식회사 | 
          
        Chemical Vapor Deposition Equipment
       
        | 
        
        
          | 
            
              DE102008026134A1
              (en)
            
            
            
           | 
          2008-05-30 | 
          2009-12-17 | 
          Advanced Micro Devices, Inc., Sunnyvale | 
          
  Microstructure device with a metallization structure with self-aligned air gaps between dense metal lines
 
        | 
        
        
          | 
            
              KR20090128913A
              (en)
            
            
            
           | 
          2008-06-11 | 
          2009-12-16 | 
          성균관대학교산학협력단 | 
          
        Texturing device and method of silicon substrate for solar cell
       
        | 
        
        
          | 
            
              JP2010003826A
              (en)
            
            
            
           | 
          2008-06-19 | 
          2010-01-07 | 
          Toshiba Corp | 
          Method of manufacturing semiconductor device 
        | 
        
        
          | 
            
              JP5222040B2
              (en)
            
            
            
           | 
          2008-06-25 | 
          2013-06-26 | 
          東京エレクトロン株式会社 | 
          
  Microwave plasma processing equipment
 
      | 
        
        
          | 
            
              JP5211332B2
              (en)
            
            
            
           | 
          2008-07-01 | 
          2013-06-12 | 
          株式会社ユーテック | 
          
  Plasma CVD apparatus, DLC film and thin film manufacturing method
 
      | 
        
        
          | 
            
              US8161906B2
              (en)
            
            
            
           | 
          2008-07-07 | 
          2012-04-24 | 
          Lam Research Corporation | 
          Clamped showerhead electrode assembly 
        | 
        
        
          | 
            
              US8206506B2
              (en)
            
            
            
           | 
          2008-07-07 | 
          2012-06-26 | 
          Lam Research Corporation | 
          Showerhead electrode 
        | 
        
        
          | 
            
              KR101245430B1
              (en)
            
            
            
           | 
          2008-07-11 | 
          2013-03-19 | 
          도쿄엘렉트론가부시키가이샤 | 
          Plasma processing apparatus and plasma processing method 
        | 
        
        
          | 
            
              JP4473344B2
              (en)
            
            
            
           | 
          2008-07-15 | 
          2010-06-02 | 
          キヤノンアネルバ株式会社 | 
          
        Plasma processing method and plasma processing apparatus
       
      | 
        
        
          | 
            
              US8336188B2
              (en)
            
            
            
           | 
          2008-07-17 | 
          2012-12-25 | 
          Formfactor, Inc. | 
          Thin wafer chuck 
        | 
        
        
          | 
            
              JP2011253832A
              (en)
            
            
            
           | 
          2008-07-24 | 
          2011-12-15 | 
          Canon Anelva Corp | 
          Resist trimming method and trimming device 
        | 
        
        
          | 
            
              KR20100013980A
              (en)
            
            
            
           | 
          2008-08-01 | 
          2010-02-10 | 
          주식회사 하이닉스반도체 | 
          Method of fabricating the trench isolation layer for semiconductor device 
        | 
        
        
          | 
            
              KR101582785B1
              (en)
            
            
            
           | 
          2008-08-12 | 
          2016-01-07 | 
          어플라이드 머티어리얼스, 인코포레이티드 | 
          Electrostatic chuck assembly 
        | 
        
        
          | 
            
              EP2324687B1
              (en)
            
            
            
           | 
          2008-08-20 | 
          2016-01-27 | 
          Vision Dynamics Holding B.V. | 
          Device for generating a plasma discharge for patterning the surface of a substrate 
        | 
        
        
          | 
            
              US8268729B2
              (en)
            
            
            
           | 
          2008-08-21 | 
          2012-09-18 | 
          International Business Machines Corporation | 
          Smooth and vertical semiconductor fin structure 
        | 
        
        
          | 
            
              KR100997502B1
              (en)
            
            
            
           | 
          2008-08-26 | 
          2010-11-30 | 
          금호석유화학 주식회사 | 
          
        Organic anti-reflective coating composition comprising ring-opened phthalic anhydride and method for preparing same
       
        | 
        
        
          | 
            
              KR101025741B1
              (en)
            
            
            
           | 
          2008-09-02 | 
          2011-04-04 | 
          주식회사 하이닉스반도체 | 
          
        Method for manufacturing active pillar of vertical channel transistor
       
        | 
        
        
          | 
            
              US8871645B2
              (en)
            
            
            
           | 
          2008-09-11 | 
          2014-10-28 | 
          Applied Materials, Inc. | 
          Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof 
        | 
        
        
          | 
            
              US8168268B2
              (en)
            
            
            
           | 
          2008-12-12 | 
          2012-05-01 | 
          Ovishinsky Innovation, LLC | 
          Thin film deposition via a spatially-coordinated and time-synchronized process 
        | 
        
        
          | 
            
              US7709396B2
              (en)
            
            
            
           | 
          2008-09-19 | 
          2010-05-04 | 
          Applied Materials, Inc. | 
          Integral patterning of large features along with array using spacer mask patterning process flow 
        | 
        
        
          | 
            
              US20100081285A1
              (en)
            
            
            
           | 
          2008-09-30 | 
          2010-04-01 | 
          Tokyo Electron Limited | 
          Apparatus and Method for Improving Photoresist Properties 
        | 
        
        
          | 
            
              US7968441B2
              (en)
            
            
            
           | 
          2008-10-08 | 
          2011-06-28 | 
          Applied Materials, Inc. | 
          Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage 
        | 
        
        
          | 
            
              US7928003B2
              (en)
            
            
            
           | 
          2008-10-10 | 
          2011-04-19 | 
          Applied Materials, Inc. | 
          Air gap interconnects using carbon-based films 
        | 
        
        
          | 
            
              US7910491B2
              (en)
            
            
            
           | 
          2008-10-16 | 
          2011-03-22 | 
          Applied Materials, Inc. | 
          Gapfill improvement with low etch rate dielectric liners 
        | 
        
        
          | 
            
              US20100099263A1
              (en)
            
            
            
           | 
          2008-10-20 | 
          2010-04-22 | 
          Applied Materials, Inc. | 
          Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects 
        | 
        
        
          | 
            
              US8207470B2
              (en)
            
            
            
           | 
          2008-10-20 | 
          2012-06-26 | 
          Industry-University Cooperation Foundation Hanyang University | 
          Apparatus for generating remote plasma 
        | 
        
        
          | 
            
              US8173547B2
              (en)
            
            
            
           | 
          2008-10-23 | 
          2012-05-08 | 
          Lam Research Corporation | 
          Silicon etch with passivation using plasma enhanced oxidation 
        | 
        
        
          | 
            
              US20100101727A1
              (en)
            
            
            
           | 
          2008-10-27 | 
          2010-04-29 | 
          Helin Ji | 
          Capacitively coupled remote plasma source with large operating pressure range 
        | 
        
        
          | 
            
              JP5396065B2
              (en)
            
            
            
           | 
          2008-10-28 | 
          2014-01-22 | 
          株式会社日立製作所 | 
          
        Manufacturing method of semiconductor device
       
      | 
        
        
          | 
            
              US8206829B2
              (en)
            
            
            
           | 
          2008-11-10 | 
          2012-06-26 | 
          Applied Materials, Inc. | 
          Plasma resistant coatings for plasma chamber components 
        | 
        
        
          | 
            
              US20100116788A1
              (en)
            
            
            
           | 
          2008-11-12 | 
          2010-05-13 | 
          Lam Research Corporation | 
          Substrate temperature control by using liquid controlled multizone substrate support 
        | 
        
        
          | 
            
              JP5358165B2
              (en)
            
            
            
           | 
          2008-11-26 | 
          2013-12-04 | 
          ルネサスエレクトロニクス株式会社 | 
          
        Manufacturing method of semiconductor integrated circuit device
       
      | 
        
        
          | 
            
              US20100144140A1
              (en)
            
            
            
           | 
          2008-12-10 | 
          2010-06-10 | 
          Novellus Systems, Inc. | 
          Methods for depositing tungsten films having low resistivity for gapfill applications 
        | 
        
        
          | 
            
              US20100147219A1
              (en)
            
            
            
           | 
          2008-12-12 | 
          2010-06-17 | 
          Jui Hai Hsieh | 
          High temperature and high voltage electrode assembly design 
        | 
        
        
          | 
            
              US8540844B2
              (en)
            
            
            
           | 
          2008-12-19 | 
          2013-09-24 | 
          Lam Research Corporation | 
          Plasma confinement structures in plasma processing systems 
        | 
        
        
          | 
            
              US8058179B1
              (en)
            
            
            
           | 
          2008-12-23 | 
          2011-11-15 | 
          Novellus Systems, Inc. | 
          Atomic layer removal process with higher etch amount 
        | 
        
        
          | 
            
              JP2010154699A
              (en)
            
            
            
           | 
          2008-12-26 | 
          2010-07-08 | 
          Hitachi Ltd | 
          Magnetic flux variable type rotating electrical machine 
        | 
        
        
          | 
            
              US20100183825A1
              (en)
            
            
            
           | 
          2008-12-31 | 
          2010-07-22 | 
          Cambridge Nanotech Inc. | 
          Plasma atomic layer deposition system and method 
        | 
        
        
          | 
            
              KR101587601B1
              (en)
            
            
            
           | 
          2009-01-14 | 
          2016-01-25 | 
          삼성전자주식회사 | 
          
        Method for manufacturing nonvolatile memory device
       
        | 
        
        
          | 
            
              US20100187694A1
              (en)
            
            
            
           | 
          2009-01-28 | 
          2010-07-29 | 
          Chen-Hua Yu | 
          Through-Silicon Via Sidewall Isolation Structure 
        | 
        
        
          | 
            
              KR20100087915A
              (en)
            
            
            
           | 
          2009-01-29 | 
          2010-08-06 | 
          삼성전자주식회사 | 
          Semiconductor memory device with cylinder type storage node and method of fabricating the same 
        | 
        
        
          | 
            
              US7964517B2
              (en)
            
            
            
           | 
          2009-01-29 | 
          2011-06-21 | 
          Texas Instruments Incorporated | 
          Use of a biased precoat for reduced first wafer defects in high-density plasma process 
        | 
        
        
          | 
            
              KR101527195B1
              (en)
            
            
            
           | 
          2009-02-02 | 
          2015-06-10 | 
          삼성전자주식회사 | 
          Nonvolatile memory device having vertical structure 
        | 
        
        
          | 
            
              JP5210191B2
              (en)
            
            
            
           | 
          2009-02-03 | 
          2013-06-12 | 
          東京エレクトロン株式会社 | 
          
  Silicon nitride film dry etching method
 
      | 
        
        
          | 
            
              JP2010180458A
              (en)
            
            
            
           | 
          2009-02-06 | 
          2010-08-19 | 
          Kit:Kk | 
          Method for forming oxide layer on aluminum surface and method for manufacturing semiconductor device 
        | 
        
        
          | 
            
              KR101617781B1
              (en)
            
            
            
           | 
          2009-02-13 | 
          2016-05-03 | 
          어플라이드 머티어리얼스, 인코포레이티드 | 
          Rf bus and rf return bus for plasma chamber electrode 
        | 
        
        
          | 
            
              US8148749B2
              (en)
            
            
            
           | 
          2009-02-19 | 
          2012-04-03 | 
          Fairchild Semiconductor Corporation | 
          Trench-shielded semiconductor device 
        | 
        
        
          | 
            
              US20110048325A1
              (en)
            
            
            
           | 
          2009-03-03 | 
          2011-03-03 | 
          Sun Hong Choi | 
          Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same 
        | 
        
        
          | 
            
              WO2010102125A2
              (en)
            
            
            
           | 
          2009-03-05 | 
          2010-09-10 | 
          Applied Materials, Inc. | 
          Inductively coupled plasma reactor having rf phase control and methods of use thereof 
        | 
        
        
          | 
            
              US20110124144A1
              (en)
            
            
            
           | 
          2009-03-17 | 
          2011-05-26 | 
          Roth & Rau Ag | 
          Substrate processing system and substrate processing method 
        | 
        
        
          | 
            
              KR101539699B1
              (en)
            
            
            
           | 
          2009-03-19 | 
          2015-07-27 | 
          삼성전자주식회사 | 
          
        Non-volatile memory device having three-dimensional structure and manufacturing method thereof
       
        | 
        
        
          | 
            
              US8312839B2
              (en)
            
            
            
           | 
          2009-03-24 | 
          2012-11-20 | 
          Applied Materials, Inc. | 
          Mixing frequency at multiple feeding points 
        | 
        
        
          | 
            
              JP5657262B2
              (en)
            
            
            
           | 
          2009-03-27 | 
          2015-01-21 | 
          東京エレクトロン株式会社 | 
          
  Plasma processing equipment
 
      | 
        
        
          | 
            
              KR101534357B1
              (en)
            
            
            
           | 
          2009-03-31 | 
          2015-07-06 | 
          도쿄엘렉트론가부시키가이샤 | 
          Substrate support device and substrate support method 
        | 
        
        
          | 
            
              US8026179B2
              (en)
            
            
            
           | 
          2009-04-09 | 
          2011-09-27 | 
          Macronix International Co., Ltd. | 
          Patterning method and integrated circuit structure 
        | 
        
        
          | 
            
              US8272346B2
              (en)
            
            
            
           | 
          2009-04-10 | 
          2012-09-25 | 
          Lam Research Corporation | 
          Gasket with positioning feature for clamped monolithic showerhead electrode 
        | 
        
        
          | 
            
              US8193075B2
              (en)
            
            
            
           | 
          2009-04-20 | 
          2012-06-05 | 
          Applied Materials, Inc. | 
          Remote hydrogen plasma with ion filter for terminating silicon dangling bonds 
        | 
        
        
          | 
            
              SG10201401671SA
              (en)
            
            
            
           | 
          2009-04-21 | 
          2014-07-30 | 
          Applied Materials Inc | 
          Cvd apparatus for improved film thickness non-uniformity and particle performance 
      | 
        
        
          | 
            
              US8623141B2
              (en)
            
            
            
           | 
          2009-05-18 | 
          2014-01-07 | 
          Taiwan Semiconductor Manufacturing Co., Ltd. | 
          Piping system and control for semiconductor processing 
        | 
        
        
          | 
            
              US8492292B2
              (en)
            
            
            
           | 
          2009-06-29 | 
          2013-07-23 | 
          Applied Materials, Inc. | 
          Methods of forming oxide layers on substrates 
        | 
        
        
          | 
            
              JP5777615B2
              (en)
            
            
            
           | 
          2009-07-15 | 
          2015-09-09 | 
          アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 
          
        Flow control mechanism of CVD chamber
       
      | 
        
        
          | 
            
              US8124531B2
              (en)
            
            
            
           | 
          2009-08-04 | 
          2012-02-28 | 
          Novellus Systems, Inc. | 
          Depositing tungsten into high aspect ratio features 
        | 
        
        
          | 
            
              US7935643B2
              (en)
            
            
            
           | 
          2009-08-06 | 
          2011-05-03 | 
          Applied Materials, Inc. | 
          Stress management for tensile films 
        | 
        
        
          | 
            
              US7989365B2
              (en)
            
            
            
           | 
          2009-08-18 | 
          2011-08-02 | 
          Applied Materials, Inc. | 
          Remote plasma source seasoning 
        | 
        
        
          | 
            
              KR101095119B1
              (en)
            
            
            
           | 
          2009-08-19 | 
          2011-12-16 | 
          삼성전기주식회사 | 
          
        Die package and its manufacturing method
       
        | 
        
        
          | 
            
              US20120223048A1
              (en)
            
            
            
           | 
          2009-08-26 | 
          2012-09-06 | 
          Veeco Process Equipment Inc. | 
          System for Fabricating a Pattern on Magnetic Recording Media 
      | 
        
        
          | 
            
              US20110117728A1
              (en)
            
            
            
           | 
          2009-08-27 | 
          2011-05-19 | 
          Applied Materials, Inc. | 
          Method of decontamination of process chamber after in-situ chamber clean 
        | 
        
        
          | 
            
              US8211808B2
              (en)
            
            
            
           | 
          2009-08-31 | 
          2012-07-03 | 
          Applied Materials, Inc. | 
          Silicon-selective dry etch for carbon-containing films 
        | 
        
        
          | 
            
              WO2011027515A1
              (en)
            
            
            
           | 
          2009-09-02 | 
          2011-03-10 | 
          積水化学工業株式会社 | 
          Method for etching silicon-containing film 
        | 
        
        
          | 
            
              US8997909B2
              (en)
            
            
            
           | 
          2009-09-03 | 
          2015-04-07 | 
          Game Changers, Llc | 
          Air cushion transport 
        | 
        
        
          | 
            
              WO2011028349A2
              (en)
            
            
            
           | 
          2009-09-04 | 
          2011-03-10 | 
          Applied Materials, Inc. | 
          Remote hydrogen plasma source of silicon containing film deposition 
        | 
        
        
          | 
            
              US20110061812A1
              (en)
            
            
            
           | 
          2009-09-11 | 
          2011-03-17 | 
          Applied Materials, Inc. | 
          Apparatus and Methods for Cyclical Oxidation and Etching 
        | 
        
        
          | 
            
              US20110065276A1
              (en)
            
            
            
           | 
          2009-09-11 | 
          2011-03-17 | 
          Applied Materials, Inc. | 
          Apparatus and Methods for Cyclical Oxidation and Etching 
        | 
        
        
          | 
            
              US20110061810A1
              (en)
            
            
            
           | 
          2009-09-11 | 
          2011-03-17 | 
          Applied Materials, Inc. | 
          Apparatus and Methods for Cyclical Oxidation and Etching 
        | 
        
        
          | 
            
              JP5648349B2
              (en)
            
            
            
           | 
          2009-09-17 | 
          2015-01-07 | 
          東京エレクトロン株式会社 | 
          
        Deposition equipment
       
      | 
        
        
          | 
            
              US8216640B2
              (en)
            
            
            
           | 
          2009-09-25 | 
          2012-07-10 | 
          Hermes-Epitek Corporation | 
          Method of making showerhead for semiconductor processing apparatus 
        | 
        
        
          | 
            
              US8329587B2
              (en)
            
            
            
           | 
          2009-10-05 | 
          2012-12-11 | 
          Applied Materials, Inc. | 
          Post-planarization densification 
        | 
        
        
          | 
            
              WO2011044451A2
              (en)
            
            
            
           | 
          2009-10-09 | 
          2011-04-14 | 
          Applied Materials, Inc. | 
          Multi-gas centrally cooled showerhead design 
        | 
        
        
          | 
            
              EP2315028A1
              (en)
            
            
            
           | 
          2009-10-26 | 
          2011-04-27 | 
          Atlas Antibodies AB | 
          PODXL protein in colorectal cancer 
        | 
        
        
          | 
            
              JP5257328B2
              (en)
            
            
            
           | 
          2009-11-04 | 
          2013-08-07 | 
          東京エレクトロン株式会社 | 
          
        Substrate processing apparatus, substrate processing method, and storage medium
       
      | 
        
        
          | 
            
              JP2013510438A
              (en)
            
            
            
           | 
          2009-11-06 | 
          2013-03-21 | 
          ラムバス・インコーポレーテッド | 
          
  Three-dimensional memory array stack structure
 
        | 
        
        
          | 
            
              US8455364B2
              (en)
            
            
            
           | 
          2009-11-06 | 
          2013-06-04 | 
          International Business Machines Corporation | 
          Sidewall image transfer using the lithographic stack as the mandrel 
        | 
        
        
          | 
            
              US8771538B2
              (en)
            
            
            
           | 
          2009-11-18 | 
          2014-07-08 | 
          Applied Materials, Inc. | 
          Plasma source design 
        | 
        
        
          | 
            
              US8742665B2
              (en)
            
            
            
           | 
          2009-11-18 | 
          2014-06-03 | 
          Applied Materials, Inc. | 
          Plasma source design 
        | 
        
        
          | 
            
              WO2011066508A2
              (en)
            
            
            
           | 
          2009-11-30 | 
          2011-06-03 | 
          Applied Materials, Inc. | 
          Chamber for processing hard disk drive substrates 
        | 
        
        
          | 
            
              US8604697B2
              (en)
            
            
            
           | 
          2009-12-09 | 
          2013-12-10 | 
          Jehara Corporation | 
          Apparatus for generating plasma 
        | 
        
        
          | 
            
              WO2011072143A2
              (en)
            
            
            
           | 
          2009-12-09 | 
          2011-06-16 | 
          Novellus Systems, Inc. | 
          Novel gap fill integration 
        | 
        
        
          | 
            
              US8202803B2
              (en)
            
            
            
           | 
          2009-12-11 | 
          2012-06-19 | 
          Tokyo Electron Limited | 
          Method to remove capping layer of insulation dielectric in interconnect structures 
        | 
        
        
          | 
            
              US20110140229A1
              (en)
            
            
            
           | 
          2009-12-16 | 
          2011-06-16 | 
          Willy Rachmady | 
          Techniques for forming shallow trench isolation 
        | 
        
        
          | 
            
              US8274017B2
              (en)
            
            
            
           | 
          2009-12-18 | 
          2012-09-25 | 
          Applied Materials, Inc. | 
          Multifunctional heater/chiller pedestal for wide range wafer temperature control 
        | 
        
        
          | 
            
              US20110151677A1
              (en)
            
            
            
           | 
          2009-12-21 | 
          2011-06-23 | 
          Applied Materials, Inc. | 
          Wet oxidation process performed on a dielectric material formed from a flowable cvd process 
        | 
        
        
          | 
            
              US8501629B2
              (en)
            
            
            
           | 
          2009-12-23 | 
          2013-08-06 | 
          Applied Materials, Inc. | 
          Smooth SiConi etch for silicon-containing films 
        | 
        
        
          | 
            
              JP4927158B2
              (en)
            
            
            
           | 
          2009-12-25 | 
          2012-05-09 | 
          東京エレクトロン株式会社 | 
          
        Substrate processing method, recording medium storing program for executing substrate processing method, and substrate processing apparatus
       
      | 
        
        
          | 
            
              US8329262B2
              (en)
            
            
            
           | 
          2010-01-05 | 
          2012-12-11 | 
          Applied Materials, Inc. | 
          Dielectric film formation using inert gas excitation 
        | 
        
        
          | 
            
              JP5710209B2
              (en)
            
            
            
           | 
          2010-01-18 | 
          2015-04-30 | 
          東京エレクトロン株式会社 | 
          
        Electromagnetic power feeding mechanism and microwave introduction mechanism
       
      | 
        
        
          | 
            
              JP5166458B2
              (en)
            
            
            
           | 
          2010-01-22 | 
          2013-03-21 | 
          株式会社東芝 | 
          
        Semiconductor device and manufacturing method thereof
       
      | 
        
        
          | 
            
              JP5608384B2
              (en)
            
            
            
           | 
          2010-02-05 | 
          2014-10-15 | 
          東京エレクトロン株式会社 | 
          
        Semiconductor device manufacturing method and plasma etching apparatus
       
      | 
        
        
          | 
            
              US20110198034A1
              (en)
            
            
            
           | 
          2010-02-11 | 
          2011-08-18 | 
          Jennifer Sun | 
          Gas distribution showerhead with coating material for semiconductor processing 
        | 
        
        
          | 
            
              US8361338B2
              (en)
            
            
            
           | 
          2010-02-11 | 
          2013-01-29 | 
          Taiwan Semiconductor Manufacturing Company, Ltd. | 
          Hard mask removal method 
        | 
        
        
          | 
            
              JP5476152B2
              (en)
            
            
            
           | 
          2010-02-16 | 
          2014-04-23 | 
          積水化学工業株式会社 | 
          
  Silicon nitride etching method and apparatus
 
      | 
        
        
          | 
            
              US8456009B2
              (en)
            
            
            
           | 
          2010-02-18 | 
          2013-06-04 | 
          Taiwan Semiconductor Manufacturing Company, Ltd. | 
          Semiconductor structure having an air-gap region and a method of manufacturing the same 
        | 
        
        
          | 
            
              JP5662079B2
              (en)
            
            
            
           | 
          2010-02-24 | 
          2015-01-28 | 
          東京エレクトロン株式会社 | 
          
        Etching method
       
      | 
        
        
          | 
            
              JP2013521650A
              (en)
            
            
            
           | 
          2010-03-05 | 
          2013-06-10 | 
          アプライド  マテリアルズ  インコーポレイテッド | 
          
        Conformal layer by radical component CVD
       
      | 
        
        
          | 
            
              JP5450187B2
              (en)
            
            
            
           | 
          2010-03-16 | 
          2014-03-26 | 
          株式会社日立ハイテクノロジーズ | 
          
        Plasma processing apparatus and plasma processing method
       
      | 
        
        
          | 
            
              EP2548238B8
              (en)
            
            
            
           | 
          2010-03-16 | 
          2015-06-17 | 
          SanDisk 3D, LLC | 
          Method of forming bottom electrodes for use with metal oxide resistivity switching layers 
        | 
        
        
          | 
            
              US8435902B2
              (en)
            
            
            
           | 
          2010-03-17 | 
          2013-05-07 | 
          Applied Materials, Inc. | 
          Invertable pattern loading with dry etch 
        | 
        
        
          | 
            
              KR20130055582A
              (en)
            
            
            
           | 
          2010-03-17 | 
          2013-05-28 | 
          어플라이드 머티어리얼스, 인코포레이티드 | 
          Method and apparatus for remote plasma source assisted silicon-containing film deposition 
        | 
        
        
          | 
            
              US20110256421A1
              (en)
            
            
            
           | 
          2010-04-16 | 
          2011-10-20 | 
          United Technologies Corporation | 
          Metallic coating for single crystal alloys 
        | 
        
        
          | 
            
              US8288268B2
              (en)
            
            
            
           | 
          2010-04-29 | 
          2012-10-16 | 
          International Business Machines Corporation | 
          Microelectronic structure including air gap 
        | 
        
        
          | 
            
              US20110265951A1
              (en)
            
            
            
           | 
          2010-04-30 | 
          2011-11-03 | 
          Applied Materials, Inc. | 
          Twin chamber processing system 
        | 
        
        
          | 
            
              US8475674B2
              (en)
            
            
            
           | 
          2010-04-30 | 
          2013-07-02 | 
          Applied Materials, Inc. | 
          High-temperature selective dry etch having reduced post-etch solid residue 
        | 
        
        
          | 
            
              US8496756B2
              (en)
            
            
            
           | 
          2010-04-30 | 
          2013-07-30 | 
          Applied Materials, Inc. | 
          Methods for processing substrates in process systems having shared resources 
        | 
        
        
          | 
            
              US20110265884A1
              (en)
            
            
            
           | 
          2010-04-30 | 
          2011-11-03 | 
          Applied Materials, Inc. | 
          Twin chamber processing system with shared vacuum pump 
        | 
        
        
          | 
            
              US20110278260A1
              (en)
            
            
            
           | 
          2010-05-14 | 
          2011-11-17 | 
          Applied Materials, Inc. | 
          Inductive plasma source with metallic shower head using b-field concentrator 
        | 
        
        
          | 
            
              US8361906B2
              (en)
            
            
            
           | 
          2010-05-20 | 
          2013-01-29 | 
          Applied Materials, Inc. | 
          Ultra high selectivity ashable hard mask film 
        | 
        
        
          | 
            
              US20140154668A1
              (en)
            
            
            
           | 
          2010-05-21 | 
          2014-06-05 | 
          The Trustees Of Princeton University | 
          Structures for Enhancement of Local Electric Field, Light Absorption, Light Radiation, Material Detection and Methods for Making and Using of the Same. 
        | 
        
        
          | 
            
              US9324576B2
              (en)
            
            
            
           | 
          2010-05-27 | 
          2016-04-26 | 
          Applied Materials, Inc. | 
          Selective etch for silicon films 
        | 
        
        
          | 
            
              US8373239B2
              (en)
            
            
            
           | 
          2010-06-08 | 
          2013-02-12 | 
          International Business Machines Corporation | 
          Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric 
        | 
        
        
          | 
            
              JP5751895B2
              (en)
            
            
            
           | 
          2010-06-08 | 
          2015-07-22 | 
          株式会社日立国際電気 | 
          
        Semiconductor device manufacturing method, cleaning method, and substrate processing apparatus
       
      | 
        
        
          | 
            
              JP2011258768A
              (en)
            
            
            
           | 
          2010-06-09 | 
          2011-12-22 | 
          Sumitomo Electric Ind Ltd | 
          Silicon carbide substrate, substrate with epitaxial layer, semiconductor device and method of manufacturing silicon carbide substrate 
        | 
        
        
          | 
            
              US20110304078A1
              (en)
            
            
            
           | 
          2010-06-14 | 
          2011-12-15 | 
          Applied Materials, Inc. | 
          Methods for removing byproducts from load lock chambers 
        | 
        
        
          | 
            
              US20120009796A1
              (en)
            
            
            
           | 
          2010-07-09 | 
          2012-01-12 | 
          Applied Materials, Inc. | 
          Post-ash sidewall healing 
        | 
        
        
          | 
            
              JP5463224B2
              (en)
            
            
            
           | 
          2010-07-09 | 
          2014-04-09 | 
          日本発條株式会社 | 
          
        Manufacturing method of plate with flow path, plate with flow path, temperature control plate, cold plate, and shower plate
       
      | 
        
        
          | 
            
              US8278203B2
              (en)
            
            
            
           | 
          2010-07-28 | 
          2012-10-02 | 
          Sandisk Technologies Inc. | 
          Metal control gate formation in non-volatile storage 
        | 
        
        
          | 
            
              US9184028B2
              (en)
            
            
            
           | 
          2010-08-04 | 
          2015-11-10 | 
          Lam Research Corporation | 
          Dual plasma volume processing apparatus for neutral/ion flux control 
        | 
        
        
          | 
            
              US8869742B2
              (en)
            
            
            
           | 
          2010-08-04 | 
          2014-10-28 | 
          Lam Research Corporation | 
          Plasma processing chamber with dual axial gas injection and exhaust 
        | 
        
        
          | 
            
              US9449793B2
              (en)
            
            
            
           | 
          2010-08-06 | 
          2016-09-20 | 
          Lam Research Corporation | 
          Systems, methods and apparatus for choked flow element extraction 
        | 
        
        
          | 
            
              JP5198611B2
              (en)
            
            
            
           | 
          2010-08-12 | 
          2013-05-15 | 
          株式会社東芝 | 
          
        Gas supply member, plasma processing apparatus, and method for forming yttria-containing film
       
      | 
        
        
          | 
            
              WO2012052858A1
              (en)
            
            
            
           | 
          2010-08-16 | 
          2012-04-26 | 
          L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | 
          Etching of oxide materials 
        | 
        
        
          | 
            
              KR20120022251A
              (en)
            
            
            
           | 
          2010-09-01 | 
          2012-03-12 | 
          삼성전자주식회사 | 
          Plasma etching method and apparatus thereof 
        | 
        
        
          | 
            
              US8573152B2
              (en)
            
            
            
           | 
          2010-09-03 | 
          2013-11-05 | 
          Lam Research Corporation | 
          Showerhead electrode 
        | 
        
        
          | 
            
              KR20120029291A
              (en)
            
            
            
           | 
          2010-09-16 | 
          2012-03-26 | 
          삼성전자주식회사 | 
          Semiconductor devices and methods of fabricating the same 
        | 
        
        
          | 
            
              US8993434B2
              (en)
            
            
            
           | 
          2010-09-21 | 
          2015-03-31 | 
          Applied Materials, Inc. | 
          Methods for forming layers on a substrate 
        | 
        
        
          | 
            
              US8633423B2
              (en)
            
            
            
           | 
          2010-10-14 | 
          2014-01-21 | 
          Applied Materials, Inc. | 
          Methods and apparatus for controlling substrate temperature in a process chamber 
        | 
        
        
          | 
            
              KR101209003B1
              (en)
            
            
            
           | 
          2010-10-14 | 
          2012-12-06 | 
          주식회사 유진테크 | 
          Method and apparatus for manufacturing memory device having 3 dimensional structure 
        | 
        
        
          | 
            
              US8183134B2
              (en)
            
            
            
           | 
          2010-10-19 | 
          2012-05-22 | 
          Taiwan Semiconductor Manufacturing Company, Ltd. | 
          Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces 
        | 
        
        
          | 
            
              US20120097330A1
              (en)
            
            
            
           | 
          2010-10-20 | 
          2012-04-26 | 
          Applied Materials, Inc. | 
          Dual delivery chamber design 
        | 
        
        
          | 
            
              JP5544343B2
              (en)
            
            
            
           | 
          2010-10-29 | 
          2014-07-09 | 
          東京エレクトロン株式会社 | 
          
        Deposition equipment
       
      | 
        
        
          | 
            
              WO2012058377A2
              (en)
            
            
            
           | 
          2010-10-29 | 
          2012-05-03 | 
          Applied Materials, Inc. | 
          Methods for etching oxide layers using process gas pulsing 
        | 
        
        
          | 
            
              US9111994B2
              (en)
            
            
            
           | 
          2010-11-01 | 
          2015-08-18 | 
          Magnachip Semiconductor, Ltd. | 
          Semiconductor device and method of fabricating the same 
        | 
        
        
          | 
            
              US8133349B1
              (en)
            
            
            
           | 
          2010-11-03 | 
          2012-03-13 | 
          Lam Research Corporation | 
          Rapid and uniform gas switching for a plasma etch process 
        | 
        
        
          | 
            
              US8389416B2
              (en)
            
            
            
           | 
          2010-11-22 | 
          2013-03-05 | 
          Tokyo Electron Limited | 
          Process for etching silicon with selectivity to silicon-germanium 
        | 
        
        
          | 
            
              KR20120058962A
              (en)
            
            
            
           | 
          2010-11-30 | 
          2012-06-08 | 
          삼성전자주식회사 | 
          Fabricating method of semiconductor device 
        | 
        
        
          | 
            
              US8475103B2
              (en)
            
            
            
           | 
          2010-12-09 | 
          2013-07-02 | 
          Hamilton Sundstand Corporation | 
          Sealing washer assembly for large diameter holes on flat surfaces 
        | 
        
        
          | 
            
              US8470713B2
              (en)
            
            
            
           | 
          2010-12-13 | 
          2013-06-25 | 
          International Business Machines Corporation | 
          Nitride etch for improved spacer uniformity 
        | 
        
        
          | 
            
              US8741778B2
              (en)
            
            
            
           | 
          2010-12-14 | 
          2014-06-03 | 
          Applied Materials, Inc. | 
          Uniform dry etch in two stages 
        | 
        
        
          | 
            
              US9719169B2
              (en)
            
            
            
           | 
          2010-12-20 | 
          2017-08-01 | 
          Novellus Systems, Inc. | 
          System and apparatus for flowable deposition in semiconductor fabrication 
        | 
        
        
          | 
            
              JP5728221B2
              (en)
            
            
            
           | 
          2010-12-24 | 
          2015-06-03 | 
          東京エレクトロン株式会社 | 
          
        Substrate processing method and storage medium
       
      | 
        
        
          | 
            
              US20120177846A1
              (en)
            
            
            
           | 
          2011-01-07 | 
          2012-07-12 | 
          Applied Materials, Inc. | 
          Radical steam cvd 
        | 
        
        
          | 
            
              KR101529578B1
              (en)
            
            
            
           | 
          2011-01-14 | 
          2015-06-19 | 
          성균관대학교산학협력단 | 
          Apparatus and method for treating substrate using plasma 
        | 
        
        
          | 
            
              US10283321B2
              (en)
            
            
            
           | 
          2011-01-18 | 
          2019-05-07 | 
          Applied Materials, Inc. | 
          Semiconductor processing system and methods using capacitively coupled plasma 
        | 
        
        
          | 
            
              US20120180954A1
              (en)
            
            
            
           | 
          2011-01-18 | 
          2012-07-19 | 
          Applied Materials, Inc. | 
          Semiconductor processing system and methods using capacitively coupled plasma 
        | 
        
        
          | 
            
              US8363476B2
              (en)
            
            
            
           | 
          2011-01-19 | 
          2013-01-29 | 
          Macronix International Co., Ltd. | 
          Memory device, manufacturing method and operating method of the same 
        | 
        
        
          | 
            
              US9018692B2
              (en)
            
            
            
           | 
          2011-01-19 | 
          2015-04-28 | 
          Macronix International Co., Ltd. | 
          Low cost scalable 3D memory 
        | 
        
        
          | 
            
              US9443749B2
              (en)
            
            
            
           | 
          2011-01-20 | 
          2016-09-13 | 
          Tokyo Electron Limited | 
          Vacuum processing apparatus 
        | 
        
        
          | 
            
              KR101732936B1
              (en)
            
            
            
           | 
          2011-02-14 | 
          2017-05-08 | 
          삼성전자주식회사 | 
          Method for forming fine patterns of a semiconductor device 
        | 
        
        
          | 
            
              US8771539B2
              (en)
            
            
            
           | 
          2011-02-22 | 
          2014-07-08 | 
          Applied Materials, Inc. | 
          Remotely-excited fluorine and water vapor etch 
        | 
        
        
          | 
            
              WO2012118847A2
              (en)
            
            
            
           | 
          2011-02-28 | 
          2012-09-07 | 
          Inpria Corportion | 
          Solution processible hardmarks for high resolusion lithography 
        | 
        
        
          | 
            
              WO2012118951A2
              (en)
            
            
            
           | 
          2011-03-01 | 
          2012-09-07 | 
          King Abdullah University Of Science And Technology | 
          Silicon germanium mask for deep silicon etching 
        | 
        
        
          | 
            
              US10453694B2
              (en)
            
            
            
           | 
          2011-03-01 | 
          2019-10-22 | 
          Applied Materials, Inc. | 
          Abatement and strip process chamber in a dual loadlock configuration 
        | 
        
        
          | 
            
              KR101937115B1
              (en)
            
            
            
           | 
          2011-03-04 | 
          2019-01-09 | 
          노벨러스 시스템즈, 인코포레이티드 | 
          Hybrid ceramic showerhead 
        | 
        
        
          | 
            
              FR2972563B1
              (en)
            
            
            
           | 
          2011-03-07 | 
          2013-03-01 | 
          Altis Semiconductor Snc | 
          
        METHOD FOR TREATING AN OXIDIZED METAL NITRIDE LAYER
       
      | 
        
        
          | 
            
              US8999856B2
              (en)
            
            
            
           | 
          2011-03-14 | 
          2015-04-07 | 
          Applied Materials, Inc. | 
          Methods for etch of sin films 
        | 
        
        
          | 
            
              US9064815B2
              (en)
            
            
            
           | 
          2011-03-14 | 
          2015-06-23 | 
          Applied Materials, Inc. | 
          Methods for etch of metal and metal-oxide films 
        | 
        
        
          | 
            
              JP5837178B2
              (en)
            
            
            
           | 
          2011-03-22 | 
          2015-12-24 | 
          アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 
          
        Liner assembly for chemical vapor deposition chambers
       
      | 
        
        
          | 
            
              JP5994772B2
              (en)
            
            
            
           | 
          2011-03-23 | 
          2016-09-21 | 
          住友大阪セメント株式会社 | 
          
  Electrostatic chuck device
 
        | 
        
        
          | 
            
              US8980418B2
              (en)
            
            
            
           | 
          2011-03-24 | 
          2015-03-17 | 
          Uchicago Argonne, Llc | 
          Sequential infiltration synthesis for advanced lithography 
        | 
        
        
          | 
            
              JP5815967B2
              (en)
            
            
            
           | 
          2011-03-31 | 
          2015-11-17 | 
          東京エレクトロン株式会社 | 
          
        Substrate cleaning apparatus and vacuum processing system
       
      | 
        
        
          | 
            
              JP6003011B2
              (en)
            
            
            
           | 
          2011-03-31 | 
          2016-10-05 | 
          東京エレクトロン株式会社 | 
          
        Substrate processing equipment
       
      | 
        
        
          | 
            
              JP5864879B2
              (en)
            
            
            
           | 
          2011-03-31 | 
          2016-02-17 | 
          東京エレクトロン株式会社 | 
          
        Substrate processing apparatus and control method thereof
       
      | 
        
        
          | 
            
              US8460569B2
              (en)
            
            
            
           | 
          2011-04-07 | 
          2013-06-11 | 
          Varian Semiconductor Equipment Associates, Inc. | 
          Method and system for post-etch treatment of patterned substrate features 
        | 
        
        
          | 
            
              US8415250B2
              (en)
            
            
            
           | 
          2011-04-29 | 
          2013-04-09 | 
          International Business Machines Corporation | 
          Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device 
        | 
        
        
          | 
            
              US8298954B1
              (en)
            
            
            
           | 
          2011-05-06 | 
          2012-10-30 | 
          International Business Machines Corporation | 
          Sidewall image transfer process employing a cap material layer for a metal nitride layer 
        | 
        
        
          | 
            
              US20120285621A1
              (en)
            
            
            
           | 
          2011-05-10 | 
          2012-11-15 | 
          Applied Materials, Inc. | 
          Semiconductor chamber apparatus for dielectric processing 
        | 
        
        
          | 
            
              US9012283B2
              (en)
            
            
            
           | 
          2011-05-16 | 
          2015-04-21 | 
          International Business Machines Corporation | 
          Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture 
        | 
        
        
          | 
            
              JP5563522B2
              (en)
            
            
            
           | 
          2011-05-23 | 
          2014-07-30 | 
          東京エレクトロン株式会社 | 
          
  Plasma processing equipment
 
      | 
        
        
          | 
            
              US8562785B2
              (en)
            
            
            
           | 
          2011-05-31 | 
          2013-10-22 | 
          Lam Research Corporation | 
          Gas distribution showerhead for inductively coupled plasma etch reactor 
        | 
        
        
          | 
            
              WO2012169747A2
              (en)
            
            
            
           | 
          2011-06-09 | 
          2012-12-13 | 
          한국기초과학지원연구원 | 
          Plasma-generating source comprising a belt-type magnet, and thin-film deposition system using same 
        | 
        
        
          | 
            
              US8637372B2
              (en)
            
            
            
           | 
          2011-06-29 | 
          2014-01-28 | 
          GlobalFoundries, Inc. | 
          Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate 
        | 
        
        
          | 
            
              US8883637B2
              (en)
            
            
            
           | 
          2011-06-30 | 
          2014-11-11 | 
          Novellus Systems, Inc. | 
          Systems and methods for controlling etch selectivity of various materials 
        | 
        
        
          | 
            
              US9117867B2
              (en)
            
            
            
           | 
          2011-07-01 | 
          2015-08-25 | 
          Applied Materials, Inc. | 
          Electrostatic chuck assembly 
        | 
        
        
          | 
            
              US9054048B2
              (en)
            
            
            
           | 
          2011-07-05 | 
          2015-06-09 | 
          Applied Materials, Inc. | 
          NH3 containing plasma nitridation of a layer on a substrate 
        | 
        
        
          | 
            
              KR20110086540A
              (en)
            
            
            
           | 
          2011-07-12 | 
          2011-07-28 | 
          조인숙 | 
          
        Selective Etching Method of Film Using Fluorine Compound
       
        | 
        
        
          | 
            
              US8741775B2
              (en)
            
            
            
           | 
          2011-07-20 | 
          2014-06-03 | 
          Applied Materials, Inc. | 
          Method of patterning a low-K dielectric film 
        | 
        
        
          | 
            
              JP2013033965A
              (en)
            
            
            
           | 
          2011-07-29 | 
          2013-02-14 | 
          Semes Co Ltd | 
          Substrate processing apparatus, substrate processing facility, and substrate processing method 
        | 
        
        
          | 
            
              US20130034666A1
              (en)
            
            
            
           | 
          2011-08-01 | 
          2013-02-07 | 
          Applied Materials, Inc. | 
          Inductive plasma sources for wafer processing and chamber cleaning 
        | 
        
        
          | 
            
              US8771536B2
              (en)
            
            
            
           | 
          2011-08-01 | 
          2014-07-08 | 
          Applied Materials, Inc. | 
          Dry-etch for silicon-and-carbon-containing films 
        | 
        
        
          | 
            
              KR101271247B1
              (en)
            
            
            
           | 
          2011-08-02 | 
          2013-06-07 | 
          주식회사 유진테크 | 
          Equipment for manufacturing semiconductor 
        | 
        
        
          | 
            
              CN102915902B
              (en)
            
            
            
           | 
          2011-08-02 | 
          2015-11-25 | 
          中微半导体设备(上海)有限公司 | 
          A kind of plasma processing apparatus of capacitance coupling type and substrate processing method thereof 
        | 
        
        
          | 
            
              US20130045605A1
              (en)
            
            
            
           | 
          2011-08-18 | 
          2013-02-21 | 
          Applied Materials, Inc. | 
          Dry-etch for silicon-and-nitrogen-containing films 
        | 
        
        
          | 
            
              US8735291B2
              (en)
            
            
            
           | 
          2011-08-25 | 
          2014-05-27 | 
          Tokyo Electron Limited | 
          Method for etching high-k dielectric using pulsed bias power 
        | 
        
        
          | 
            
              US8679982B2
              (en)
            
            
            
           | 
          2011-08-26 | 
          2014-03-25 | 
          Applied Materials, Inc. | 
          Selective suppression of dry-etch rate of materials containing both silicon and oxygen 
        | 
        
        
          | 
            
              US8679983B2
              (en)
            
            
            
           | 
          2011-09-01 | 
          2014-03-25 | 
          Applied Materials, Inc. | 
          Selective suppression of dry-etch rate of materials containing both silicon and nitrogen 
        | 
        
        
          | 
            
              US20130217243A1
              (en)
            
            
            
           | 
          2011-09-09 | 
          2013-08-22 | 
          Applied Materials, Inc. | 
          Doping of dielectric layers 
        | 
        
        
          | 
            
              US8808562B2
              (en)
            
            
            
           | 
          2011-09-12 | 
          2014-08-19 | 
          Tokyo Electron Limited | 
          Dry metal etching method 
        | 
        
        
          | 
            
              US20130260564A1
              (en)
            
            
            
           | 
          2011-09-26 | 
          2013-10-03 | 
          Applied Materials, Inc. | 
          Insensitive dry removal process for semiconductor integration 
        | 
        
        
          | 
            
              US8927390B2
              (en)
            
            
            
           | 
          2011-09-26 | 
          2015-01-06 | 
          Applied Materials, Inc. | 
          Intrench profile 
        | 
        
        
          | 
            
              US8664012B2
              (en)
            
            
            
           | 
          2011-09-30 | 
          2014-03-04 | 
          Tokyo Electron Limited | 
          Combined silicon oxide etch and contamination removal process 
        | 
        
        
          | 
            
              US8551891B2
              (en)
            
            
            
           | 
          2011-10-04 | 
          2013-10-08 | 
          Applied Materials, Inc. | 
          Remote plasma burn-in 
        | 
        
        
          | 
            
              US9653267B2
              (en)
            
            
            
           | 
          2011-10-06 | 
          2017-05-16 | 
          Applied Materials, Inc. | 
          Temperature controlled chamber liner 
        | 
        
        
          | 
            
              US8808563B2
              (en)
            
            
            
           | 
          2011-10-07 | 
          2014-08-19 | 
          Applied Materials, Inc. | 
          Selective etch of silicon by way of metastable hydrogen termination 
        | 
        
        
          | 
            
              US20130087309A1
              (en)
            
            
            
           | 
          2011-10-11 | 
          2013-04-11 | 
          Applied Materials, Inc. | 
          Substrate support with temperature control 
        | 
        
        
          | 
            
              JP5740281B2
              (en)
            
            
            
           | 
          2011-10-20 | 
          2015-06-24 | 
          東京エレクトロン株式会社 | 
          
        Metal film dry etching method
       
      | 
        
        
          | 
            
              US9666414B2
              (en)
            
            
            
           | 
          2011-10-27 | 
          2017-05-30 | 
          Applied Materials, Inc. | 
          Process chamber for etching low k and other dielectric films 
        | 
        
        
          | 
            
              US9017481B1
              (en)
            
            
            
           | 
          2011-10-28 | 
          2015-04-28 | 
          Asm America, Inc. | 
          Process feed management for semiconductor substrate processing 
        | 
        
        
          | 
            
              US20130115372A1
              (en)
            
            
            
           | 
          2011-11-08 | 
          2013-05-09 | 
          Primestar Solar, Inc. | 
          High emissivity distribution plate in vapor deposition apparatus and processes 
        | 
        
        
          | 
            
              JP5779482B2
              (en)
            
            
            
           | 
          2011-11-15 | 
          2015-09-16 | 
          株式会社日立ハイテクノロジーズ | 
          
        Plasma processing apparatus and plasma processing method
       
      | 
        
        
          | 
            
              US8652298B2
              (en)
            
            
            
           | 
          2011-11-21 | 
          2014-02-18 | 
          Lam Research Corporation | 
          Triode reactor design with multiple radiofrequency powers 
        | 
        
        
          | 
            
              US8900364B2
              (en)
            
            
            
           | 
          2011-11-29 | 
          2014-12-02 | 
          Intermolecular, Inc. | 
          High productivity vapor processing system 
        | 
        
        
          | 
            
              US8440523B1
              (en)
            
            
            
           | 
          2011-12-07 | 
          2013-05-14 | 
          International Business Machines Corporation | 
          Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch 
        | 
        
        
          | 
            
              KR20130072911A
              (en)
            
            
            
           | 
          2011-12-22 | 
          2013-07-02 | 
          에스케이하이닉스 주식회사 | 
          Nonvolatile memory device and method for fabricating the same 
        | 
        
        
          | 
            
              KR101878311B1
              (en)
            
            
            
           | 
          2011-12-30 | 
          2018-07-17 | 
          삼성전자주식회사 | 
          Method of forming semiconductor device using high-K layer for spacer etch stop and related device 
        | 
        
        
          | 
            
              US8603891B2
              (en)
            
            
            
           | 
          2012-01-20 | 
          2013-12-10 | 
          Micron Technology, Inc. | 
          Methods for forming vertical memory devices and apparatuses 
        | 
        
        
          | 
            
              US8747686B2
              (en)
            
            
            
           | 
          2012-01-27 | 
          2014-06-10 | 
          Applied Materials, Inc. | 
          Methods of end point detection for substrate fabrication processes 
        | 
        
        
          | 
            
              JP6010406B2
              (en)
            
            
            
           | 
          2012-01-27 | 
          2016-10-19 | 
          東京エレクトロン株式会社 | 
          
  Microwave radiation mechanism, microwave plasma source, and surface wave plasma processing apparatus
 
      | 
        
        
          | 
            
              US20130175654A1
              (en)
            
            
            
           | 
          2012-02-10 | 
          2013-07-11 | 
          Sylvain Muckenhirn | 
          Bulk nanohole structures for thermoelectric devices and methods for making the same 
        | 
        
        
          | 
            
              TWI602283B
              (en)
            
            
            
           | 
          2012-03-27 | 
          2017-10-11 | 
          諾發系統有限公司 | 
          Tungsten feature fill 
        | 
        
        
          | 
            
              US8937800B2
              (en)
            
            
            
           | 
          2012-04-24 | 
          2015-01-20 | 
          Applied Materials, Inc. | 
          Electrostatic chuck with advanced RF and temperature uniformity 
        | 
        
        
          | 
            
              US20130284369A1
              (en)
            
            
            
           | 
          2012-04-26 | 
          2013-10-31 | 
          Applied Materials, Inc. | 
          Two-phase operation of plasma chamber by phase locked loop 
        | 
        
        
          | 
            
              CN104247003B
              (en)
            
            
            
           | 
          2012-04-26 | 
          2018-06-15 | 
          应用材料公司 | 
          
        Method and apparatus for preventing bonding adhesive erosion of electrostatic chucks
       
        | 
        
        
          | 
            
              US9161428B2
              (en)
            
            
            
           | 
          2012-04-26 | 
          2015-10-13 | 
          Applied Materials, Inc. | 
          Independent control of RF phases of separate coils of an inductively coupled plasma reactor 
        | 
        
        
          | 
            
              US9948214B2
              (en)
            
            
            
           | 
          2012-04-26 | 
          2018-04-17 | 
          Applied Materials, Inc. | 
          High temperature electrostatic chuck with real-time heat zone regulating capability 
        | 
        
        
          | 
            
              US9394615B2
              (en)
            
            
            
           | 
          2012-04-27 | 
          2016-07-19 | 
          Applied Materials, Inc. | 
          Plasma resistant ceramic coated conductive article 
        | 
        
        
          | 
            
              US9976215B2
              (en)
            
            
            
           | 
          2012-05-01 | 
          2018-05-22 | 
          Taiwan Semiconductor Manufacturing Co., Ltd. | 
          Semiconductor film formation apparatus and process 
        | 
        
        
          | 
            
              JP2013235912A
              (en)
            
            
            
           | 
          2012-05-08 | 
          2013-11-21 | 
          Tokyo Electron Ltd | 
          Method for etching substrate to be processed and plasma etching device 
        | 
        
        
          | 
            
              US20130298942A1
              (en)
            
            
            
           | 
          2012-05-14 | 
          2013-11-14 | 
          Applied Materials, Inc. | 
          Etch remnant removal 
        | 
        
        
          | 
            
              FR2991320B1
              (en)
            
            
            
           | 
          2012-06-05 | 
          2014-06-27 | 
          Commissariat Energie Atomique | 
          
        PROCESS FOR THE PREPARATION OF METHYL AMINES
       
      | 
        
        
          | 
            
              US8974164B2
              (en)
            
            
            
           | 
          2012-06-26 | 
          2015-03-10 | 
          Newfrey Llc | 
          Plastic high heat fastener 
        | 
        
        
          | 
            
              US8916477B2
              (en)
            
            
            
           | 
          2012-07-02 | 
          2014-12-23 | 
          Novellus Systems, Inc. | 
          Polysilicon etch with high selectivity 
        | 
        
        
          | 
            
              US9034773B2
              (en)
            
            
            
           | 
          2012-07-02 | 
          2015-05-19 | 
          Novellus Systems, Inc. | 
          Removal of native oxide with high selectivity 
        | 
        
        
          | 
            
              US8802572B2
              (en)
            
            
            
           | 
          2012-07-10 | 
          2014-08-12 | 
          Applied Materials, Inc. | 
          Method of patterning a low-k dielectric film 
        | 
        
        
          | 
            
              US9267739B2
              (en)
            
            
            
           | 
          2012-07-18 | 
          2016-02-23 | 
          Applied Materials, Inc. | 
          Pedestal with multi-zone temperature control and multiple purge capabilities 
        | 
        
        
          | 
            
              US9184030B2
              (en)
            
            
            
           | 
          2012-07-19 | 
          2015-11-10 | 
          Lam Research Corporation | 
          Edge exclusion control with adjustable plasma exclusion zone ring 
        | 
        
        
          | 
            
              US9631273B2
              (en)
            
            
            
           | 
          2012-07-25 | 
          2017-04-25 | 
          Taiwan Semiconductor Manufacturing Company, Ltd. | 
          Apparatus for dielectric deposition process 
        | 
        
        
          | 
            
              US9373517B2
              (en)
            
            
            
           | 
          2012-08-02 | 
          2016-06-21 | 
          Applied Materials, Inc. | 
          Semiconductor processing with DC assisted RF power for improved control 
        | 
        
        
          | 
            
              US8772888B2
              (en)
            
            
            
           | 
          2012-08-10 | 
          2014-07-08 | 
          Avalanche Technology Inc. | 
          MTJ MRAM with stud patterning 
        | 
        
        
          | 
            
              US8747680B1
              (en)
            
            
            
           | 
          2012-08-14 | 
          2014-06-10 | 
          Everspin Technologies, Inc. | 
          Method of manufacturing a magnetoresistive-based device 
        | 
        
        
          | 
            
              US8993058B2
              (en)
            
            
            
           | 
          2012-08-28 | 
          2015-03-31 | 
          Applied Materials, Inc. | 
          Methods and apparatus for forming tantalum silicate layers on germanium or III-V semiconductor devices 
        | 
        
        
          | 
            
              US20140062285A1
              (en)
            
            
            
           | 
          2012-08-29 | 
          2014-03-06 | 
          Mks Instruments, Inc. | 
          Method and Apparatus for a Large Area Inductive Plasma Source 
        | 
        
        
          | 
            
              JP6027374B2
              (en)
            
            
            
           | 
          2012-09-12 | 
          2016-11-16 | 
          東京エレクトロン株式会社 | 
          
        Plasma processing apparatus and filter unit
       
      | 
        
        
          | 
            
              US9034770B2
              (en)
            
            
            
           | 
          2012-09-17 | 
          2015-05-19 | 
          Applied Materials, Inc. | 
          Differential silicon oxide etch 
        | 
        
        
          | 
            
              US9023734B2
              (en)
            
            
            
           | 
          2012-09-18 | 
          2015-05-05 | 
          Applied Materials, Inc. | 
          Radical-component oxide etch 
        | 
        
        
          | 
            
              US9390937B2
              (en)
            
            
            
           | 
          2012-09-20 | 
          2016-07-12 | 
          Applied Materials, Inc. | 
          Silicon-carbon-nitride selective etch 
        | 
        
        
          | 
            
              US9132436B2
              (en)
            
            
            
           | 
          2012-09-21 | 
          2015-09-15 | 
          Applied Materials, Inc. | 
          Chemical control features in wafer process equipment 
        | 
        
        
          | 
            
              US20140099794A1
              (en)
            
            
            
           | 
          2012-09-21 | 
          2014-04-10 | 
          Applied Materials, Inc. | 
          Radical chemistry modulation and control using multiple flow pathways 
        | 
        
        
          | 
            
              US9018022B2
              (en)
            
            
            
           | 
          2012-09-24 | 
          2015-04-28 | 
          Lam Research Corporation | 
          Showerhead electrode assembly in a capacitively coupled plasma processing apparatus 
        | 
        
        
          | 
            
              TWI604528B
              (en)
            
            
            
           | 
          2012-10-02 | 
          2017-11-01 | 
          應用材料股份有限公司 | 
          Directional sio2 etch using plasma pre-treatment and high-temperature etchant deposition 
        | 
        
        
          | 
            
              US9165783B2
              (en)
            
            
            
           | 
          2012-11-01 | 
          2015-10-20 | 
          Applied Materials, Inc. | 
          Method of patterning a low-k dielectric film 
        | 
        
        
          | 
            
              JP6035117B2
              (en)
            
            
            
           | 
          2012-11-09 | 
          2016-11-30 | 
          東京エレクトロン株式会社 | 
          
  Plasma etching method and plasma etching apparatus
 
      | 
        
        
          | 
            
              US8765574B2
              (en)
            
            
            
           | 
          2012-11-09 | 
          2014-07-01 | 
          Applied Materials, Inc. | 
          Dry etch process 
        | 
        
        
          | 
            
              US8969212B2
              (en)
            
            
            
           | 
          2012-11-20 | 
          2015-03-03 | 
          Applied Materials, Inc. | 
          Dry-etch selectivity 
        | 
        
        
          | 
            
              US8980763B2
              (en)
            
            
            
           | 
          2012-11-30 | 
          2015-03-17 | 
          Applied Materials, Inc. | 
          Dry-etch for selective tungsten removal 
        | 
        
        
          | 
            
              US9064816B2
              (en)
            
            
            
           | 
          2012-11-30 | 
          2015-06-23 | 
          Applied Materials, Inc. | 
          Dry-etch for selective oxidation removal 
        | 
        
        
          | 
            
              US9777564B2
              (en)
            
            
            
           | 
          2012-12-03 | 
          2017-10-03 | 
          Pyrophase, Inc. | 
          Stimulating production from oil wells using an RF dipole antenna 
        | 
        
        
          | 
            
              US9982343B2
              (en)
            
            
            
           | 
          2012-12-14 | 
          2018-05-29 | 
          Applied Materials, Inc. | 
          Apparatus for providing plasma to a process chamber 
        | 
        
        
          | 
            
              US20140166618A1
              (en)
            
            
            
           | 
          2012-12-14 | 
          2014-06-19 | 
          The Penn State Research Foundation | 
          Ultra-high speed anisotropic reactive ion etching 
        | 
        
        
          | 
            
              US9111877B2
              (en)
            
            
            
           | 
          2012-12-18 | 
          2015-08-18 | 
          Applied Materials, Inc. | 
          Non-local plasma oxide etch 
        | 
        
        
          | 
            
              US8921234B2
              (en)
            
            
            
           | 
          2012-12-21 | 
          2014-12-30 | 
          Applied Materials, Inc. | 
          Selective titanium nitride etching 
        | 
        
        
          | 
            
              JP6328931B2
              (en)
            
            
            
           | 
          2012-12-31 | 
          2018-05-23 | 
          ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC | 
          
        Photoresist pattern trimming method
       
      | 
        
        
          | 
            
              US9165823B2
              (en)
            
            
            
           | 
          2013-01-08 | 
          2015-10-20 | 
          Macronix International Co., Ltd. | 
          3D stacking semiconductor device and manufacturing method thereof 
        | 
        
        
          | 
            
              US9093389B2
              (en)
            
            
            
           | 
          2013-01-16 | 
          2015-07-28 | 
          Applied Materials, Inc. | 
          Method of patterning a silicon nitride dielectric film 
        | 
        
        
          | 
            
              JP6080571B2
              (en)
            
            
            
           | 
          2013-01-31 | 
          2017-02-15 | 
          東京エレクトロン株式会社 | 
          
        Mounting table and plasma processing apparatus
       
      | 
        
        
          | 
            
              US8970114B2
              (en)
            
            
            
           | 
          2013-02-01 | 
          2015-03-03 | 
          Lam Research Corporation | 
          Temperature controlled window of a plasma processing chamber component 
        | 
        
        
          | 
            
              US10256079B2
              (en)
            
            
            
           | 
          2013-02-08 | 
          2019-04-09 | 
          Applied Materials, Inc. | 
          Semiconductor processing systems having multiple plasma configurations 
        | 
        
        
          | 
            
              JP2014154421A
              (en)
            
            
            
           | 
          2013-02-12 | 
          2014-08-25 | 
          Tokyo Electron Ltd | 
          Plasma processing apparatus, plasma processing method, and high-frequency generator 
        | 
        
        
          | 
            
              US20140234466A1
              (en)
            
            
            
           | 
          2013-02-21 | 
          2014-08-21 | 
          HGST Netherlands B.V. | 
          Imprint mold and method for making using sidewall spacer line doubling 
        | 
        
        
          | 
            
              US9362130B2
              (en)
            
            
            
           | 
          2013-03-01 | 
          2016-06-07 | 
          Applied Materials, Inc. | 
          Enhanced etching processes using remote plasma sources 
        | 
        
        
          | 
            
              TWI487004B
              (en)
            
            
            
           | 
          2013-03-01 | 
          2015-06-01 | 
          Winbond Electronics Corp | 
          Patterning method and method of forming memory device 
        | 
        
        
          | 
            
              US9040422B2
              (en)
            
            
            
           | 
          2013-03-05 | 
          2015-05-26 | 
          Applied Materials, Inc. | 
          Selective titanium nitride removal 
        | 
        
        
          | 
            
              US8801952B1
              (en)
            
            
            
           | 
          2013-03-07 | 
          2014-08-12 | 
          Applied Materials, Inc. | 
          Conformal oxide dry etch 
        | 
        
        
          | 
            
              US8859433B2
              (en)
            
            
            
           | 
          2013-03-11 | 
          2014-10-14 | 
          International Business Machines Corporation | 
          DSA grapho-epitaxy process with etch stop material 
        | 
        
        
          | 
            
              US20140262031A1
              (en)
            
            
            
           | 
          2013-03-12 | 
          2014-09-18 | 
          Sergey G. BELOSTOTSKIY | 
          Multi-mode etch chamber source assembly 
        | 
        
        
          | 
            
              US8946023B2
              (en)
            
            
            
           | 
          2013-03-12 | 
          2015-02-03 | 
          Sandisk Technologies Inc. | 
          Method of making a vertical NAND device using sequential etching of multilayer stacks 
        | 
        
        
          | 
            
              TWI591211B
              (en)
            
            
            
           | 
          2013-03-13 | 
          2017-07-11 | 
          應用材料股份有限公司 | 
          Methods of etching films comprising transition metals 
        | 
        
        
          | 
            
              CN105122431A
              (en)
            
            
            
           | 
          2013-03-13 | 
          2015-12-02 | 
          应用材料公司 | 
          Pulsed pc plasma etching process and apparatus 
        | 
        
        
          | 
            
              US20140273451A1
              (en)
            
            
            
           | 
          2013-03-13 | 
          2014-09-18 | 
          Applied Materials, Inc. | 
          Tungsten deposition sequence 
        | 
        
        
          | 
            
              WO2014159427A1
              (en)
            
            
            
           | 
          2013-03-14 | 
          2014-10-02 | 
          Applied Materials, Inc | 
          Resist hardening and development processes for semiconductor device manufacturing 
        | 
        
        
          | 
            
              US9556507B2
              (en)
            
            
            
           | 
          2013-03-14 | 
          2017-01-31 | 
          Applied Materials, Inc. | 
          Yttria-based material coated chemical vapor deposition chamber heater 
        | 
        
        
          | 
            
              US9006106B2
              (en)
            
            
            
           | 
          2013-03-14 | 
          2015-04-14 | 
          Applied Materials, Inc. | 
          Method of removing a metal hardmask 
        | 
        
        
          | 
            
              US20140271097A1
              (en)
            
            
            
           | 
          2013-03-15 | 
          2014-09-18 | 
          Applied Materials, Inc. | 
          Processing systems and methods for halide scavenging 
        | 
        
        
          | 
            
              WO2014145263A1
              (en)
            
            
            
           | 
          2013-03-15 | 
          2014-09-18 | 
          Dr. Py Institute, Llc | 
          Single-use needle assembly and method 
        | 
        
        
          | 
            
              US9276011B2
              (en)
            
            
            
           | 
          2013-03-15 | 
          2016-03-01 | 
          Micron Technology, Inc. | 
          Cell pillar structures and integrated flows 
        | 
        
        
          | 
            
              US8946076B2
              (en)
            
            
            
           | 
          2013-03-15 | 
          2015-02-03 | 
          Micron Technology, Inc. | 
          Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells 
        | 
        
        
          | 
            
              JP5386046B1
              (en)
            
            
            
           | 
          2013-03-27 | 
          2014-01-15 | 
          エピクルー株式会社 | 
          
        Susceptor support and epitaxial growth apparatus provided with this susceptor support
       
      | 
        
        
          | 
            
              US9245761B2
              (en)
            
            
            
           | 
          2013-04-05 | 
          2016-01-26 | 
          Lam Research Corporation | 
          Internal plasma grid for semiconductor fabrication 
        | 
        
        
          | 
            
              US9230819B2
              (en)
            
            
            
           | 
          2013-04-05 | 
          2016-01-05 | 
          Lam Research Corporation | 
          Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing 
        | 
        
        
          | 
            
              US20140308758A1
              (en)
            
            
            
           | 
          2013-04-10 | 
          2014-10-16 | 
          Applied Materials, Inc. | 
          Patterning magnetic memory 
        | 
        
        
          | 
            
              US8748322B1
              (en)
            
            
            
           | 
          2013-04-16 | 
          2014-06-10 | 
          Applied Materials, Inc. | 
          Silicon oxide recess etch 
        | 
        
        
          | 
            
              US20140311581A1
              (en)
            
            
            
           | 
          2013-04-19 | 
          2014-10-23 | 
          Applied Materials, Inc. | 
          Pressure controller configuration for semiconductor processing applications 
        | 
        
        
          | 
            
              US9720022B2
              (en)
            
            
            
           | 
          2015-05-19 | 
          2017-08-01 | 
          Lam Research Corporation | 
          Systems and methods for providing characteristics of an impedance matching model for use with matching networks 
        | 
        
        
          | 
            
              US8895449B1
              (en)
            
            
            
           | 
          2013-05-16 | 
          2014-11-25 | 
          Applied Materials, Inc. | 
          Delicate dry clean 
        | 
        
        
          | 
            
              US20140342569A1
              (en)
            
            
            
           | 
          2013-05-16 | 
          2014-11-20 | 
          Applied Materials, Inc. | 
          Near surface etch selectivity enhancement 
        | 
        
        
          | 
            
              US9114438B2
              (en)
            
            
            
           | 
          2013-05-21 | 
          2015-08-25 | 
          Applied Materials, Inc. | 
          Copper residue chamber clean 
        | 
        
        
          | 
            
              US9082826B2
              (en)
            
            
            
           | 
          2013-05-24 | 
          2015-07-14 | 
          Lam Research Corporation | 
          Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features 
        | 
        
        
          | 
            
              US20140357083A1
              (en)
            
            
            
           | 
          2013-05-31 | 
          2014-12-04 | 
          Applied Materials, Inc. | 
          Directed block copolymer self-assembly patterns for advanced photolithography applications 
        | 
        
        
          | 
            
              US10808317B2
              (en)
            
            
            
           | 
          2013-07-03 | 
          2020-10-20 | 
          Lam Research Corporation | 
          Deposition apparatus including an isothermal processing zone 
        | 
        
        
          | 
            
              US9493879B2
              (en)
            
            
            
           | 
          2013-07-12 | 
          2016-11-15 | 
          Applied Materials, Inc. | 
          Selective sputtering for pattern transfer 
        | 
        
        
          | 
            
              US8871651B1
              (en)
            
            
            
           | 
          2013-07-12 | 
          2014-10-28 | 
          Globalfoundries Inc. | 
          Mask formation processing 
        | 
        
        
          | 
            
              KR102154112B1
              (en)
            
            
            
           | 
          2013-08-01 | 
          2020-09-09 | 
          삼성전자주식회사 | 
          a semiconductor device including metal interconnections and method for fabricating the same 
        | 
        
        
          | 
            
              US9543163B2
              (en)
            
            
            
           | 
          2013-08-20 | 
          2017-01-10 | 
          Applied Materials, Inc. | 
          Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process 
        | 
        
        
          | 
            
              US9773648B2
              (en)
            
            
            
           | 
          2013-08-30 | 
          2017-09-26 | 
          Applied Materials, Inc. | 
          Dual discharge modes operation for remote plasma 
        | 
        
        
          | 
            
              JP5837012B2
              (en)
            
            
            
           | 
          2013-09-12 | 
          2015-12-24 | 
          ラピスセミコンダクタ株式会社 | 
          
        MONITORING METHOD, PLASMA MONITORING METHOD, MONITORING SYSTEM, AND PLASMA MONITORING SYSTEM
       
      | 
        
        
          | 
            
              US9230980B2
              (en)
            
            
            
           | 
          2013-09-15 | 
          2016-01-05 | 
          Sandisk Technologies Inc. | 
          Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device 
        | 
        
        
          | 
            
              US8956980B1
              (en)
            
            
            
           | 
          2013-09-16 | 
          2015-02-17 | 
          Applied Materials, Inc. | 
          Selective etch of silicon nitride 
        | 
        
        
          | 
            
              US9051655B2
              (en)
            
            
            
           | 
          2013-09-16 | 
          2015-06-09 | 
          Applied Materials, Inc. | 
          Boron ionization for aluminum oxide etch enhancement 
        | 
        
        
          | 
            
              US8980758B1
              (en)
            
            
            
           | 
          2013-09-17 | 
          2015-03-17 | 
          Applied Materials, Inc. | 
          Methods for etching an etching stop layer utilizing a cyclical etching process 
        | 
        
        
          | 
            
              TWI660429B
              (en)
            
            
            
           | 
          2013-09-27 | 
          2019-05-21 | 
          美商應用材料股份有限公司 | 
          Method of enabling seamless cobalt gap-fill 
        | 
        
        
          | 
            
              US8951429B1
              (en)
            
            
            
           | 
          2013-10-29 | 
          2015-02-10 | 
          Applied Materials, Inc. | 
          Tungsten oxide processing 
        | 
        
        
          | 
            
              US9576809B2
              (en)
            
            
            
           | 
          2013-11-04 | 
          2017-02-21 | 
          Applied Materials, Inc. | 
          Etch suppression with germanium 
        | 
        
        
          | 
            
              US9236265B2
              (en)
            
            
            
           | 
          2013-11-04 | 
          2016-01-12 | 
          Applied Materials, Inc. | 
          Silicon germanium processing 
        | 
        
        
          | 
            
              JP6033496B2
              (en)
            
            
            
           | 
          2013-11-06 | 
          2016-11-30 | 
          マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. | 
          
        Novel mask removal method for vertical NAND devices
       
      | 
        
        
          | 
            
              US9520303B2
              (en)
            
            
            
           | 
          2013-11-12 | 
          2016-12-13 | 
          Applied Materials, Inc. | 
          Aluminum selective etch 
        | 
        
        
          | 
            
              US9330937B2
              (en)
            
            
            
           | 
          2013-11-13 | 
          2016-05-03 | 
          Intermolecular, Inc. | 
          Etching of semiconductor structures that include titanium-based layers 
        | 
        
        
          | 
            
              US9245762B2
              (en)
            
            
            
           | 
          2013-12-02 | 
          2016-01-26 | 
          Applied Materials, Inc. | 
          Procedure for etch rate consistency 
        | 
        
        
          | 
            
              US9117855B2
              (en)
            
            
            
           | 
          2013-12-04 | 
          2015-08-25 | 
          Applied Materials, Inc. | 
          Polarity control for remote plasma 
        | 
        
        
          | 
            
              US20150170926A1
              (en)
            
            
            
           | 
          2013-12-16 | 
          2015-06-18 | 
          David J. Michalak | 
          Dielectric layers having ordered elongate pores 
        | 
        
        
          | 
            
              US9287095B2
              (en)
            
            
            
           | 
          2013-12-17 | 
          2016-03-15 | 
          Applied Materials, Inc. | 
          Semiconductor system assemblies and methods of operation 
        | 
        
        
          | 
            
              US20150170879A1
              (en)
            
            
            
           | 
          2013-12-17 | 
          2015-06-18 | 
          Applied Materials, Inc. | 
          Semiconductor system assemblies and methods of operation 
      | 
        
        
          | 
            
              US20150171008A1
              (en)
            
            
            
           | 
          2013-12-17 | 
          2015-06-18 | 
          GLOBAL FOUNDRIES Singapore Ptd. Ltd. | 
          Integrated circuits with dummy contacts and methods for producing such integrated circuits 
        | 
        
        
          | 
            
              US20150170943A1
              (en)
            
            
            
           | 
          2013-12-17 | 
          2015-06-18 | 
          Applied Materials, Inc. | 
          Semiconductor system assemblies and methods of operation 
      | 
        
        
          | 
            
              US9263278B2
              (en)
            
            
            
           | 
          2013-12-17 | 
          2016-02-16 | 
          Applied Materials, Inc. | 
          Dopant etch selectivity control 
        | 
        
        
          | 
            
              US9190293B2
              (en)
            
            
            
           | 
          2013-12-18 | 
          2015-11-17 | 
          Applied Materials, Inc. | 
          Even tungsten etch for high aspect ratio trenches 
        | 
        
        
          | 
            
              US9622375B2
              (en)
            
            
            
           | 
          2013-12-31 | 
          2017-04-11 | 
          Applied Materials, Inc. | 
          Electrostatic chuck with external flow adjustments for improved temperature distribution 
        | 
        
        
          | 
            
              US9111907B2
              (en)
            
            
            
           | 
          2014-01-02 | 
          2015-08-18 | 
          Globalfoundries Inc. | 
          Silicide protection during contact metallization and resulting semiconductor structures 
        | 
        
        
          | 
            
              US20150200042A1
              (en)
            
            
            
           | 
          2014-01-10 | 
          2015-07-16 | 
          Applied Materials, Inc. | 
          Recessing ultra-low k dielectric using remote plasma source 
        | 
        
        
          | 
            
              US9287134B2
              (en)
            
            
            
           | 
          2014-01-17 | 
          2016-03-15 | 
          Applied Materials, Inc. | 
          Titanium oxide etch 
        | 
        
        
          | 
            
              US9293568B2
              (en)
            
            
            
           | 
          2014-01-27 | 
          2016-03-22 | 
          Applied Materials, Inc. | 
          Method of fin patterning 
        | 
        
        
          | 
            
              US9396989B2
              (en)
            
            
            
           | 
          2014-01-27 | 
          2016-07-19 | 
          Applied Materials, Inc. | 
          Air gaps between copper lines 
        | 
        
        
          | 
            
              US20150214066A1
              (en)
            
            
            
           | 
          2014-01-27 | 
          2015-07-30 | 
          Applied Materials, Inc. | 
          Method for material removal in dry etch reactor 
        | 
        
        
          | 
            
              US9385028B2
              (en)
            
            
            
           | 
          2014-02-03 | 
          2016-07-05 | 
          Applied Materials, Inc. | 
          Air gap process 
        | 
        
        
          | 
            
              JP6059165B2
              (en)
            
            
            
           | 
          2014-02-19 | 
          2017-01-11 | 
          東京エレクトロン株式会社 | 
          
        Etching method and plasma processing apparatus
       
      | 
        
        
          | 
            
              US9499898B2
              (en)
            
            
            
           | 
          2014-03-03 | 
          2016-11-22 | 
          Applied Materials, Inc. | 
          Layered thin film heater and method of fabrication 
        | 
        
        
          | 
            
              US9299575B2
              (en)
            
            
            
           | 
          2014-03-17 | 
          2016-03-29 | 
          Applied Materials, Inc. | 
          Gas-phase tungsten etch 
        | 
        
        
          | 
            
              US9299537B2
              (en)
            
            
            
           | 
          2014-03-20 | 
          2016-03-29 | 
          Applied Materials, Inc. | 
          Radial waveguide systems and methods for post-match control of microwaves 
        | 
        
        
          | 
            
              US9299538B2
              (en)
            
            
            
           | 
          2014-03-20 | 
          2016-03-29 | 
          Applied Materials, Inc. | 
          Radial waveguide systems and methods for post-match control of microwaves 
        | 
        
        
          | 
            
              US9136273B1
              (en)
            
            
            
           | 
          2014-03-21 | 
          2015-09-15 | 
          Applied Materials, Inc. | 
          Flash gate air gap 
        | 
        
        
          | 
            
              US9903020B2
              (en)
            
            
            
           | 
          2014-03-31 | 
          2018-02-27 | 
          Applied Materials, Inc. | 
          Generation of compact alumina passivation layers on aluminum plasma equipment components 
        | 
        
        
          | 
            
              US9269590B2
              (en)
            
            
            
           | 
          2014-04-07 | 
          2016-02-23 | 
          Applied Materials, Inc. | 
          Spacer formation 
        | 
        
        
          | 
            
              KR102175763B1
              (en)
            
            
            
           | 
          2014-04-09 | 
          2020-11-09 | 
          삼성전자주식회사 | 
          Semiconductor Memory Device And Method Of Fabricating The Same 
        | 
        
        
          | 
            
              US9881788B2
              (en)
            
            
            
           | 
          2014-05-22 | 
          2018-01-30 | 
          Lam Research Corporation | 
          Back side deposition apparatus and applications 
        | 
        
        
          | 
            
              US20150345029A1
              (en)
            
            
            
           | 
          2014-05-28 | 
          2015-12-03 | 
          Applied Materials, Inc. | 
          Metal removal 
        | 
        
        
          | 
            
              US9309598B2
              (en)
            
            
            
           | 
          2014-05-28 | 
          2016-04-12 | 
          Applied Materials, Inc. | 
          Oxide and metal removal 
        | 
        
        
          | 
            
              US9773683B2
              (en)
            
            
            
           | 
          2014-06-09 | 
          2017-09-26 | 
          American Air Liquide, Inc. | 
          Atomic layer or cyclic plasma etching chemistries and processes 
        | 
        
        
          | 
            
              US9666449B2
              (en)
            
            
            
           | 
          2014-06-17 | 
          2017-05-30 | 
          Micron Technology, Inc. | 
          Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation 
        | 
        
        
          | 
            
              US9406523B2
              (en)
            
            
            
           | 
          2014-06-19 | 
          2016-08-02 | 
          Applied Materials, Inc. | 
          Highly selective doped oxide removal method 
        | 
        
        
          | 
            
              US20150371865A1
              (en)
            
            
            
           | 
          2014-06-19 | 
          2015-12-24 | 
          Applied Materials, Inc. | 
          High selectivity gas phase silicon nitride removal 
      | 
        
        
          | 
            
              US9378969B2
              (en)
            
            
            
           | 
          2014-06-19 | 
          2016-06-28 | 
          Applied Materials, Inc. | 
          Low temperature gas-phase carbon removal 
        | 
        
        
          | 
            
              US20150371861A1
              (en)
            
            
            
           | 
          2014-06-23 | 
          2015-12-24 | 
          Applied Materials, Inc. | 
          Protective silicon oxide patterning 
        | 
        
        
          | 
            
              KR102248205B1
              (en)
            
            
            
           | 
          2014-06-25 | 
          2021-05-04 | 
          삼성전자주식회사 | 
          Semiconductor device having vertical channel and air gap 
        | 
        
        
          | 
            
              KR20160002543A
              (en)
            
            
            
           | 
          2014-06-30 | 
          2016-01-08 | 
          세메스 주식회사 | 
          Substrate treating apparatus 
        | 
        
        
          | 
            
              US20160005833A1
              (en)
            
            
            
           | 
          2014-07-03 | 
          2016-01-07 | 
          Applied Materials, Inc. | 
          Feol low-k spacers 
      | 
        
        
          | 
            
              US9425058B2
              (en)
            
            
            
           | 
          2014-07-24 | 
          2016-08-23 | 
          Applied Materials, Inc. | 
          Simplified litho-etch-litho-etch process 
        | 
        
        
          | 
            
              US9496167B2
              (en)
            
            
            
           | 
          2014-07-31 | 
          2016-11-15 | 
          Applied Materials, Inc. | 
          Integrated bit-line airgap formation and gate stack post clean 
        | 
        
        
          | 
            
              US9378978B2
              (en)
            
            
            
           | 
          2014-07-31 | 
          2016-06-28 | 
          Applied Materials, Inc. | 
          Integrated oxide recess and floating gate fin trimming 
        | 
        
        
          | 
            
              US9159606B1
              (en)
            
            
            
           | 
          2014-07-31 | 
          2015-10-13 | 
          Applied Materials, Inc. | 
          Metal air gap 
        | 
        
        
          | 
            
              US20160042968A1
              (en)
            
            
            
           | 
          2014-08-05 | 
          2016-02-11 | 
          Applied Materials, Inc. | 
          Integrated oxide and si etch for 3d cell channel mobility improvements 
        | 
        
        
          | 
            
              US9165786B1
              (en)
            
            
            
           | 
          2014-08-05 | 
          2015-10-20 | 
          Applied Materials, Inc. | 
          Integrated oxide and nitride recess for better channel contact in 3D architectures 
        | 
        
        
          | 
            
              US9659753B2
              (en)
            
            
            
           | 
          2014-08-07 | 
          2017-05-23 | 
          Applied Materials, Inc. | 
          Grooved insulator to reduce leakage current 
        | 
        
        
          | 
            
              US9553102B2
              (en)
            
            
            
           | 
          2014-08-19 | 
          2017-01-24 | 
          Applied Materials, Inc. | 
          Tungsten separation 
        | 
        
        
          | 
            
              US9355856B2
              (en)
            
            
            
           | 
          2014-09-12 | 
          2016-05-31 | 
          Applied Materials, Inc. | 
          V trench dry etch 
        | 
        
        
          | 
            
              US9368364B2
              (en)
            
            
            
           | 
          2014-09-24 | 
          2016-06-14 | 
          Applied Materials, Inc. | 
          Silicon etch process with tunable selectivity to SiO2 and other materials 
        | 
        
        
          | 
            
              US10083818B2
              (en)
            
            
            
           | 
          2014-09-24 | 
          2018-09-25 | 
          Applied Materials, Inc. | 
          Auto frequency tuned remote plasma source 
        | 
        
        
          | 
            
              US9355862B2
              (en)
            
            
            
           | 
          2014-09-24 | 
          2016-05-31 | 
          Applied Materials, Inc. | 
          Fluorine-based hardmask removal 
        | 
        
        
          | 
            
              US9613822B2
              (en)
            
            
            
           | 
          2014-09-25 | 
          2017-04-04 | 
          Applied Materials, Inc. | 
          Oxide etch selectivity enhancement 
        | 
        
        
          | 
            
              US9240315B1
              (en)
            
            
            
           | 
          2014-10-10 | 
          2016-01-19 | 
          Applied Materials, Inc. | 
          CVD oxide surface pre-conditioning by inductively coupled O2 plasma 
        | 
        
        
          | 
            
              US9966240B2
              (en)
            
            
            
           | 
          2014-10-14 | 
          2018-05-08 | 
          Applied Materials, Inc. | 
          Systems and methods for internal surface conditioning assessment in plasma processing equipment 
        | 
        
        
          | 
            
              US9355922B2
              (en)
            
            
            
           | 
          2014-10-14 | 
          2016-05-31 | 
          Applied Materials, Inc. | 
          Systems and methods for internal surface conditioning in plasma processing equipment 
        | 
        
        
          | 
            
              US9652567B2
              (en)
            
            
            
           | 
          2014-10-20 | 
          2017-05-16 | 
          Lam Research Corporation | 
          System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path 
        | 
        
        
          | 
            
              US9508529B2
              (en)
            
            
            
           | 
          2014-10-23 | 
          2016-11-29 | 
          Lam Research Corporation | 
          System, method and apparatus for RF power compensation in a plasma processing system 
        | 
        
        
          | 
            
              US10102321B2
              (en)
            
            
            
           | 
          2014-10-24 | 
          2018-10-16 | 
          Lam Research Corporation | 
          System, method and apparatus for refining radio frequency transmission system models 
        | 
        
        
          | 
            
              US11637002B2
              (en)
            
            
            
           | 
          2014-11-26 | 
          2023-04-25 | 
          Applied Materials, Inc. | 
          Methods and systems to enhance process uniformity 
        | 
        
        
          | 
            
              US9299583B1
              (en)
            
            
            
           | 
          2014-12-05 | 
          2016-03-29 | 
          Applied Materials, Inc. | 
          Aluminum oxide selective etch 
        | 
        
        
          | 
            
              JP6320282B2
              (en)
            
            
            
           | 
          2014-12-05 | 
          2018-05-09 | 
          東京エレクトロン株式会社 | 
          
        Etching method
       
      | 
        
        
          | 
            
              US10573496B2
              (en)
            
            
            
           | 
          2014-12-09 | 
          2020-02-25 | 
          Applied Materials, Inc. | 
          Direct outlet toroidal plasma source 
        | 
        
        
          | 
            
              US10224210B2
              (en)
            
            
            
           | 
          2014-12-09 | 
          2019-03-05 | 
          Applied Materials, Inc. | 
          Plasma processing system with direct outlet toroidal plasma source 
        | 
        
        
          | 
            
              US9536749B2
              (en)
            
            
            
           | 
          2014-12-15 | 
          2017-01-03 | 
          Lam Research Corporation | 
          Ion energy control by RF pulse shape 
        | 
        
        
          | 
            
              US20160181116A1
              (en)
            
            
            
           | 
          2014-12-18 | 
          2016-06-23 | 
          Lam Research Corporation | 
          Selective nitride etch 
        | 
        
        
          | 
            
              US9502258B2
              (en)
            
            
            
           | 
          2014-12-23 | 
          2016-11-22 | 
          Applied Materials, Inc. | 
          Anisotropic gap etch 
        | 
        
        
          | 
            
              US9431268B2
              (en)
            
            
            
           | 
          2015-01-05 | 
          2016-08-30 | 
          Lam Research Corporation | 
          Isotropic atomic layer etch for silicon and germanium oxides 
        | 
        
        
          | 
            
              US9425041B2
              (en)
            
            
            
           | 
          2015-01-06 | 
          2016-08-23 | 
          Lam Research Corporation | 
          Isotropic atomic layer etch for silicon oxides using no activation 
        | 
        
        
          | 
            
              US9343272B1
              (en)
            
            
            
           | 
          2015-01-08 | 
          2016-05-17 | 
          Applied Materials, Inc. | 
          Self-aligned process 
        | 
        
        
          | 
            
              US11257693B2
              (en)
            
            
            
           | 
          2015-01-09 | 
          2022-02-22 | 
          Applied Materials, Inc. | 
          Methods and systems to improve pedestal temperature control 
        | 
        
        
          | 
            
              US9373522B1
              (en)
            
            
            
           | 
          2015-01-22 | 
          2016-06-21 | 
          Applied Mateials, Inc. | 
          Titanium nitride removal 
        | 
        
        
          | 
            
              US9449846B2
              (en)
            
            
            
           | 
          2015-01-28 | 
          2016-09-20 | 
          Applied Materials, Inc. | 
          Vertical gate separation 
        | 
        
        
          | 
            
              US20160225652A1
              (en)
            
            
            
           | 
          2015-02-03 | 
          2016-08-04 | 
          Applied Materials, Inc. | 
          Low temperature chuck for plasma processing systems 
        | 
        
        
          | 
            
              US9728437B2
              (en)
            
            
            
           | 
          2015-02-03 | 
          2017-08-08 | 
          Applied Materials, Inc. | 
          High temperature chuck for plasma processing systems 
        | 
        
        
          | 
            
              US20160237570A1
              (en)
            
            
            
           | 
          2015-02-13 | 
          2016-08-18 | 
          Applied Materials, Inc. | 
          Gas delivery apparatus for process equipment 
        | 
        
        
          | 
            
              US9275834B1
              (en)
            
            
            
           | 
          2015-02-20 | 
          2016-03-01 | 
          Applied Materials, Inc. | 
          Selective titanium nitride etch 
        | 
        
        
          | 
            
              US9881805B2
              (en)
            
            
            
           | 
          2015-03-02 | 
          2018-01-30 | 
          Applied Materials, Inc. | 
          Silicon selective removal 
        | 
        
        
          | 
            
              TWI670749B
              (en)
            
            
            
           | 
          2015-03-13 | 
          2019-09-01 | 
          美商應用材料股份有限公司 | 
          Plasma source coupled to a process chamber 
        | 
        
        
          | 
            
              US9478433B1
              (en)
            
            
            
           | 
          2015-03-30 | 
          2016-10-25 | 
          Applied Materials, Inc. | 
          Cyclic spacer etching process with improved profile control 
        | 
        
        
          | 
            
              US20160307772A1
              (en)
            
            
            
           | 
          2015-04-15 | 
          2016-10-20 | 
          Applied Materials, Inc. | 
          Spacer formation process with flat top profile 
        | 
        
        
          | 
            
              US9576788B2
              (en)
            
            
            
           | 
          2015-04-24 | 
          2017-02-21 | 
          Applied Materials, Inc. | 
          Cleaning high aspect ratio vias 
        | 
        
        
          | 
            
              US9870899B2
              (en)
            
            
            
           | 
          2015-04-24 | 
          2018-01-16 | 
          Lam Research Corporation | 
          Cobalt etch back 
        | 
        
        
          | 
            
              US9691645B2
              (en)
            
            
            
           | 
          2015-08-06 | 
          2017-06-27 | 
          Applied Materials, Inc. | 
          Bolted wafer chuck thermal management systems and methods for wafer processing systems 
        | 
        
        
          | 
            
              US9741593B2
              (en)
            
            
            
           | 
          2015-08-06 | 
          2017-08-22 | 
          Applied Materials, Inc. | 
          Thermal management systems and methods for wafer processing systems 
        | 
        
        
          | 
            
              US9349605B1
              (en)
            
            
            
           | 
          2015-08-07 | 
          2016-05-24 | 
          Applied Materials, Inc. | 
          Oxide etch selectivity systems and methods 
        | 
        
        
          | 
            
              US9972504B2
              (en)
            
            
            
           | 
          2015-08-07 | 
          2018-05-15 | 
          Lam Research Corporation | 
          Atomic layer etching of tungsten for enhanced tungsten deposition fill 
        | 
        
        
          | 
            
              US10504700B2
              (en)
            
            
            
           | 
          2015-08-27 | 
          2019-12-10 | 
          Applied Materials, Inc. | 
          Plasma etching systems and methods with secondary plasma injection 
        | 
        
        
          | 
            
              US9564338B1
              (en)
            
            
            
           | 
          2015-09-08 | 
          2017-02-07 | 
          Applied Materials, Inc. | 
          Silicon-selective removal 
        | 
        
        
          | 
            
              US9460959B1
              (en)
            
            
            
           | 
          2015-10-02 | 
          2016-10-04 | 
          Applied Materials, Inc. | 
          Methods for pre-cleaning conductive interconnect structures 
        | 
        
        
          | 
            
              US10522371B2
              (en)
            
            
            
           | 
          2016-05-19 | 
          2019-12-31 | 
          Applied Materials, Inc. | 
          Systems and methods for improved semiconductor etching and component protection 
        | 
        
        
          | 
            
              US10504754B2
              (en)
            
            
            
           | 
          2016-05-19 | 
          2019-12-10 | 
          Applied Materials, Inc. | 
          Systems and methods for improved semiconductor etching and component protection 
        | 
        
        
          | 
            
              US9721789B1
              (en)
            
            
            
           | 
          2016-10-04 | 
          2017-08-01 | 
          Applied Materials, Inc. | 
          Saving ion-damaged spacers 
        | 
        
        
          | 
            
              US9768034B1
              (en)
            
            
            
           | 
          2016-11-11 | 
          2017-09-19 | 
          Applied Materials, Inc. | 
          Removal methods for high aspect ratio structures 
        |