US20060154186A1 - Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings - Google Patents

Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings Download PDF

Info

Publication number
US20060154186A1
US20060154186A1 US11/031,118 US3111805A US2006154186A1 US 20060154186 A1 US20060154186 A1 US 20060154186A1 US 3111805 A US3111805 A US 3111805A US 2006154186 A1 US2006154186 A1 US 2006154186A1
Authority
US
United States
Prior art keywords
triazine
composition
deionized water
formulation
chaotropic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/031,118
Other languages
English (en)
Inventor
David Minsek
Weihua Wang
David Bernhard
Thomas Baum
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Priority to US11/031,118 priority Critical patent/US20060154186A1/en
Assigned to ADVANCED TECHNOLOGY MATERIALS, INC. reassignment ADVANCED TECHNOLOGY MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAUM, THOMAS H., BERNHARD, DAVID D., MINSEK, DAVID W., WANG, WEIHUA
Priority to SG201005348-6A priority patent/SG164385A1/en
Priority to US11/813,497 priority patent/US7994108B2/en
Priority to EP06717549A priority patent/EP1844367A4/fr
Priority to PCT/US2006/000366 priority patent/WO2006074316A1/fr
Priority to KR1020077017990A priority patent/KR101365784B1/ko
Priority to CN200680007314.8A priority patent/CN101137939B/zh
Priority to JP2007550476A priority patent/JP2008527447A/ja
Priority to CN201410384014.8A priority patent/CN104199261B/zh
Priority to TW095100721A priority patent/TWI426361B/zh
Priority to EP12157762A priority patent/EP2482134A3/fr
Assigned to ADVANCED TECHNOLOGY MATERIALS, INC. reassignment ADVANCED TECHNOLOGY MATERIALS, INC. CORRECTED ASSIGNMENT FOR REEL/FRAME 016178/0392 RECORDED 1/7/05 Assignors: BAUM, THOMAS H., BERNHARD, DAVID D., MINSEK, DAVID W., WANG, WEIHUA
Publication of US20060154186A1 publication Critical patent/US20060154186A1/en
Priority to IL184483A priority patent/IL184483A0/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Definitions

  • the present invention relates to aqueous-based compositions useful in semiconductor manufacturing for the removal of hardened photoresist and/or bottom anti-reflection coatings (BARCs) from substrates having such layers thereon, and to methods of using such compositions for removal of hardened photoresist and/or BARC layers from semiconductor substrates.
  • BARCs bottom anti-reflection coatings
  • Photolithography techniques comprise the steps of coating, exposure, and development.
  • a wafer is coated with a positive or negative photoresist substance and subsequently covered with a mask that defines patterns to be retained or removed in subsequent processes.
  • the mask has directed therethrough a beam of monochromatic radiation, such as ultraviolet (UV) light or deep UV (DUV) light ( ⁇ 250 nm), to make the exposed photoresist material more or less soluble in a selected rinsing solution.
  • UV ultraviolet
  • DUV deep UV
  • the transmissivity of photoresist combined with the high reflectivity of the substrates to the DUV wavelengths results in the reflection of the DUV radiation back into the photoresist thereby producing standing waves in the photoresist layer.
  • the standing waves trigger further photochemical reactions in the photoresist causing an uneven exposure of the photoresist, including in masked portions not intended to be exposed to the radiation, which results in variations in linewidths, spacing and other critical dimensions.
  • bottom anti-reflective coatings both inorganic and organic in nature
  • organic BARCs including, but not limited to, polysulfones, polyureas, polyurea sulfones, polyacrylates and poly(vinyl pyridine)
  • organic BARCs are typically 600-1200 ⁇ thick and deposited using spin-on coating techniques.
  • organic BARCs are planarizing layers, filling up the vias evenly, and highly cross-linked.
  • Organic BARCs prevent light reflection by matching the reflective index of the BARC layer with that of the photoresist layer while simultaneously absorbing radiation thereby preventing radiation reflection and standing waves.
  • gas-phase plasma etching is used to transfer the patterns of the developed photoresist coating to an underlying dielectric coating.
  • the reactive plasma gases react with the developed photoresist, resulting in the formation of a hardened, crosslinked polymeric material, or “crust,” on the surface of the photoresist.
  • the reactive plasma gases react with the sidewalls of the BARC and the features etched into the dielectric.
  • FEOL front-end-of-line
  • ion implantation is used to add dopant atoms to the exposed wafer layers. Ion implant-exposed photoresist is also highly cross-linked similar to plasma etched photoresist.
  • the clean removal of hardened photoresist and/or BARC materials from the semiconductor wafer has proven to be difficult and/or costly. If not removed, the layers may interfere with subsequent silicidation or contact formation. Typically, the layers are removed by oxidative or reductive plasma ashing or wet cleaning. However, plasma ashing, whereby the substrate is exposed to an oxidative or reductive plasma etch, may result in damage to the dielectric material, either by changing the feature shapes and dimensions, or by an increase in the dielectric constant of the dielectric material. The latter problem is more pronounced when low-k dielectric materials, such as organosilicate glasses (OSG) or carbon-doped oxide glasses, are the underlying dielectric material. As such, it is often desirable to avoid the use of plasma ashing to remove the hardened photoresist and/or BARC layers.
  • OSG organosilicate glasses
  • a cleaner/etchant composition When a cleaner/etchant composition is used in BEOL applications to process surfaces having aluminum or copper interconnected wires, it is important that the composition possess good metal compatibility, e.g., a low etch rate on copper, aluminum, cobalt, etc.
  • Aqueous removal solutions are preferred because of the simpler disposal techniques, however, the photoresist “crust” is typically extremely insoluble in aqueous cleaners, especially cleaners that do not damage the dielectric. Often substantial quantities of co-solvents, wetting agents and/or surfactants are added to the aqueous solutions to improve the cleaning ability of the solution.
  • co-solvents may increase the ability to remove hardened photoresist by increasing the solubility of the photoresist material in the composition and/or decreasing the solution surface tension, i.e., increasing wettability, however, the inclusion of co-solvents may increase the undesirable corrosion of other materials such as metals and low-k dielectrics.
  • a co-solvent-free aqueous solution is desirable, preferably one that completely and efficiently removes hardened photoresist and/or BARC layers from the underlying dielectric.
  • the present invention relates to removal compositions including chaotropic solutes. It is theorized that a chaotropic solute destructures or breaks-up the hydrogen-bonded structure of liquid water thus increasing the solubility of other species, e.g., polymers, in water.
  • chaotropes were first noted by Hofineister in 1888 (Hofineister, F., Arch. Exp. Pathol. Pharmakol., 24, 247-260 (1888)) as a function of protein solubility and a “series” of anions was developed based on protein solubilities in solutions containing those anions (Collins, K. D., Washabaugh, M. W., Quart. Rev. Biophysics, 18(4), 323-422 (1985)).
  • chaotropic anions include Cl ⁇ , NO 3 ⁇ , Br ⁇ , I ⁇ , ClO 4 ⁇ , and SCN ⁇ .
  • Other chaotropic species include the guanidinium ion and nonionic urea, which have been demonstrated to increase the solubility of hydrocarbons in aqueous solutions (Wetlaufer, D. B., Malik, S. K., Stoller, L., Coffin, R. L., J. Am. Chem. Soc., 86, 508-514 (1964)).
  • aqueous based composition including a chaotropic solute to increase the solubility of the hardened photoresist and/or BARC layers in said composition to effectuate removal of the layers from semiconductor substrates.
  • the present invention relates to aqueous-based compositions useful in semiconductor manufacturing for the removal of hardened photoresist and/or BARC layers from substrates having same thereon, and to methods of using such compositions for removal of hardened photoresist and/or BARC layers from semiconductor substrates.
  • the invention relates to a aqueous-based removal composition useful for removing photoresist and/or bottom anti-reflective coating (BARC) materials from a substrate having such material(s) thereon, said composition comprising at least one chaotropic solute and at least one alkaline salt in an aqueous medium.
  • BARC bottom anti-reflective coating
  • the invention in another aspect, relates to a method of removing photoresist and/or BARC material from a substrate having said material thereon, said method comprising contacting the substrate with an aqueous-based removal composition for sufficient time to at least partially remove said material from the substrate, wherein the aqueous-based removal composition comprises at least one chaotropic solute and at least one alkaline salt in an aqueous medium.
  • the present invention is based on the discovery of an aqueous-based composition that is highly efficacious for the removal of hardened photoresist and BARC layers from patterned semiconductor wafers having same thereon. Specifically, the present invention relates to the removal of hardened photoresist and/or BARC layers from plasma etched and/or ion implanted semiconductor wafers.
  • Hardened photoresist as used herein includes, but is not limited to, photoresist that has been plasma etched, e.g., during BEOL dual-damascene processing of integrated circuits, and/or ion implanted, e.g., during front-end-of-line (FEOL) processing to implant dopant species in the appropriate layers of the semiconductor wafer.
  • FEOL front-end-of-line
  • the present invention relates to aqueous-based removal compositions useful in removing hardened photoresist and/or BARC layers from a semiconductor substrate.
  • the formulation of the present invention comprises at least one chaotropic solute and at least one alkaline salt in an aqueous medium, present in the following ranges, based on the total weight of the composition: component of % by weight chaotropic solute(s) about 1.0% to about 30.0% alkaline salt(s) about 1.0% to about 10.0% aqueous medium about 60.0% to about 98.0%
  • the aqueous-based removal composition may comprise, consist of, or consist essentially of at least one chaotropic solute and at least one alkaline salt in an aqueous medium.
  • the specific proportions and amounts of chaotropic solute(s), alkaline salt(s) and aqueous medium, in relation to each other may be suitably varied to provide the desired removal action of the aqueous-based composition for the hardened photoresist and/or BARC layer species and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • aqueous medium may be any aqueous-based medium which does not alter the removal efficacy of the at least one chaotropic solute and at least one alkaline salt.
  • the aqueous medium is water, most preferably deionized water.
  • the chaotropic solute serves to increase the solubility of the hardened photoresist and/or BARC constituent species in the aqueous-based composition.
  • “Chaotropic solutes,” as defined herein, refer to water soluble or aqueous alkaline soluble neutral and anionic species which increase the ability of an aqueous alkaline composition to remove hardened photoresist and/or BARC layers.
  • “Chaotropic anions” preferably have an atomic or molecular radius of greater than or equal to 1.6 ⁇ , for example those anions conventionally known to be chaotropic including, but not limited to, chloride, bromide, iodide, nitrate, thiocyanide and chlorate.
  • solutes contemplated herein for use as chaotropic solutes include, but are not limited to: urea; and guanidinium salts, e.g., guanidinium chloride. Additionally, we expect certain solutes to act as chaotropes based on structural similarities to known chaotropes.
  • Such solutes may include, but are not limited to: anionic benzoate salts and benzoate derivatives such as 2-, 3-, or 4-aminobenzoic acids, 2-, 3-, or 4-nitrobenzoic acid, 2-, 3-, or 4-anisic acid, 2-, 3-, or 4-fluoro-, chloro-, bromo-, or iodo-benzoic acid, 2-, 3-, or 4-methylthio-benzoic acid, and other mono- or poly-substituted benzoic acid salts; 2,4-diamino-6-methyl-1,3,5-triazine; aniline or substituted aniline such as 2-, 3-, or 4methylthio-aniline or 2-, 3-, or 4-anisidine; 1,2-, 1,3-, or 1,4-phenylenediamine, nitrogen-containing heterocyclic compounds such as 1,3,5-triazine or substituted 1,3,5-triazines such as melamine, acetoguanamine, 2,4-diamino-6-phen
  • the cations associated with the chaotropic anions are metal-ion free, e.g., (NR 1 R 2 R 3 R 4 ) + where R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and each is independently selected from the group consisting of hydrogen and C 1 -C 6 alkyl groups.
  • the cation associated with the chaotropic anion is tetramethylammonium.
  • the alkaline salt(s) serve to attack the hardened photoresist and/or BARC layer.
  • the chaotropic solute swells the polymeric layer allowing the alkaline salts to attack every interface of the hardened photoresist and/or BARC layer.
  • the interface between the substrate and the hardened photoresist and/or BARC layer is compromised and the hardened photoresist and/or BARC layer delaminates from the substrate.
  • Alkaline salt(s) contemplated herein include metal-ion free hydroxides, e.g., (NR 1 R 2 R 3 R 4 )OH where R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and each is independently selected from the group consisting of hydrogen and C 1 -C 6 alkyl groups.
  • the alkaline salt is tetramethylammonium hydroxide and the pH of the aqueous-based removal composition is at least about 13.
  • chaotropic solute(s), alkaline salt(s), and deionized water in relation to each other may be suitably varied to provide the desired solubilizing action of the aqueous-based composition for the specific photoresist and/or BARC layers to be cleaned from the substrate.
  • Such specific proportions and amounts are readily determinable by simple experiment within the skill of the art without undue effort.
  • the removal efficiency of the aqueous-based removal composition of the present invention may be enhanced by use of elevated temperature conditions in the contacting of the photoresist and/or BARC layers to be removed with the aqueous-based removal composition.
  • the aqueous-based removal compositions of the invention may optionally be formulated with additional components to further enhance the removal capability of the composition, or to otherwise improve the character of the composition. Accordingly, the composition may be formulated with surfactants, stabilizers, chelating agents, corrosion inhibitors, complexing agents, etc. Although the aqueous-based removal compositions of the invention normally contain no organic co-solvents, an organic co-solvent may be included so long as they do not corrode other materials such as metals and low-k dielectrics. Co-solvents contemplated herein include alkanols (e.g., straight chained or branched C 1 -C 6 alcohols), butyl carbitol and sulfolane-w.
  • alkanols e.g., straight chained or branched C 1 -C 6 alcohols
  • butyl carbitol sulfolane-w.
  • Preferred aqueous-based removal compositions include formulations (A)-(G) enumerated hereinbelow:
  • aqueous-based compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition.
  • the invention relates to methods of removal of hardened photoresist and/or BARC layers from a semiconductor wafer surface using the aqueous-based removal compositions described herein.
  • the aqueous-based composition is applied in any suitable manner to the material to be cleaned, e.g., by spraying the aqueous-based composition on the surface of the material to be cleaned, by dipping (in a volume of the aqueous-based composition) of the material or article including the material to be cleaned, by contacting the material or article to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the aqueous-based composition, or by any other suitable means, manner or technique by which the aqueous-based composition is brought into removal contact with material to be cleaned.
  • a suitable manner or technique by which the aqueous-based composition is brought into removal contact with material to be cleaned.
  • the aqueous-based compositions of the present invention are usefully employed to remove hardened photoresist and/or BARC materials from substrates and semiconductor device structures on which such material(s) have been deposited.
  • compositions of the present invention by virtue of their selectivity for such hardened photoresist and/or BARC materials relative to other materials that may be present on the semiconductor substrate, e.g., ILD structures, metallization, barrier layers, etc., achieve removal of the hardened photoresist and/or BARC material(s) in a highly efficient manner.
  • the aqueous-based composition typically is contacted with the substrate for a time of from about 1 minute to about 60 minutes, at temperature in a range of from about 40° C. to about 80° C.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to completely remove the hardened photoresist and/or BARC material from the substrate using the aqueous-based compositions of the present invention, within the broad practice of the invention.
  • the aqueous-based composition is readily removed from the substrate or article to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions of the present invention.
  • the substrate or article is rinsed with copious amounts of deionized water and blown dry with nitrogen gas prior to subsequent processing.
  • Plasma etching had been previously performed to transfer a pattern of lines, spaces, and holes of varying dimensions, from about 100 nanometers to greater than 10 microns, from a pattern formed in a top coating of photoresist to the underlying materials.
  • the pattern consisted of spaces etched into the substrate, stopping at the silicon nitride etch-stop layer.
  • the hardened photoresist and BARC was present as a coating of between 10 to 50 nanometers.
  • a section of the substrate was cleaned by immersion for a fixed time at a fixed temperature in a static bath of the Formulation A cleaning solution described hereinabove. After immersion for the set time the sample was removed, rinsed with copious amounts of de-ionized water, and blown dry with nitrogen. A cleaning time of 30 minutes at 55° C. was sufficient to remove 100% of the hardened photoresist and BARC. Cleaning was observed by top-down optical microscopy and confirmed by scanning electron microscopy (SEM).
  • Cleaning using Formulation B was performed on a sample of patterned semiconductor substrate such as that described in Example 1 using the same method described in Example 1.
  • An immersion time of greater than 20 minutes but less than 30 minutes at 55° C. was sufficient to clean 100% of the hardened photoresist and BARC material from the substrate as observed by top-down optical microscopy and confirmed by scanning electron microscopy (SEM).
  • Cleaning using Formulation C was performed on a sample of patterned semiconductor substrate such as that described in Example 1 using the same method described in Example 1.
  • An immersion time of greater than 20 minutes but less than 30 minutes at 55° C. was sufficient to clean close to 100% of the hardened photoresist and BARC material from the substrate as observed by top-down optical microscopy and confirmed by scanning electron microscopy (SEM).
  • Cleaning using Formulation D was performed on a sample of patterned semiconductor substrate such as that described in Example 1 using the same method described in Example 1.
  • An immersion time of greater than 20 minutes but less than 30 minutes at 55° C. was sufficient to clean about 90% of the photoresist and BARC material from the substrate as observed by top-down optical microscopy and confirmed by scanning electron microscopy (SEM).

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
US11/031,118 2005-01-07 2005-01-07 Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings Abandoned US20060154186A1 (en)

Priority Applications (12)

Application Number Priority Date Filing Date Title
US11/031,118 US20060154186A1 (en) 2005-01-07 2005-01-07 Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
EP12157762A EP2482134A3 (fr) 2005-01-07 2006-01-09 Composition utile pour l'élimination de photorésine post-gravure et revêtements antireflets inférieurs
CN200680007314.8A CN101137939B (zh) 2005-01-07 2006-01-09 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物
CN201410384014.8A CN104199261B (zh) 2005-01-07 2006-01-09 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物
EP06717549A EP1844367A4 (fr) 2005-01-07 2006-01-09 Composition permettant d'enlever le photoresist apres l'attaque chimique et revetements antireflets inferieurs
PCT/US2006/000366 WO2006074316A1 (fr) 2005-01-07 2006-01-09 Composition permettant d’enlever le photorésist après l’attaque chimique et revêtements antireflets inférieurs
KR1020077017990A KR101365784B1 (ko) 2005-01-07 2006-01-09 에칭 후 포토레지스트 및 바닥 반사 방지 코팅의 제거에 유용한 조성물
SG201005348-6A SG164385A1 (en) 2005-01-07 2006-01-09 Composition useful for removal of post-etch photoresist and bottom anti- reflection coatings
JP2007550476A JP2008527447A (ja) 2005-01-07 2006-01-09 エッチング後のフォトレジスト及び底部反射防止膜の除去に有用な組成物
US11/813,497 US7994108B2 (en) 2005-01-07 2006-01-09 Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
TW095100721A TWI426361B (zh) 2005-01-07 2006-01-09 用於有效地移除後蝕刻光阻劑及底層抗反射塗料之組成物
IL184483A IL184483A0 (en) 2005-01-07 2007-07-08 Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/031,118 US20060154186A1 (en) 2005-01-07 2005-01-07 Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/813,497 Continuation-In-Part US7994108B2 (en) 2005-01-07 2006-01-09 Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings

Publications (1)

Publication Number Publication Date
US20060154186A1 true US20060154186A1 (en) 2006-07-13

Family

ID=36647826

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/031,118 Abandoned US20060154186A1 (en) 2005-01-07 2005-01-07 Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US11/813,497 Active US7994108B2 (en) 2005-01-07 2006-01-09 Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/813,497 Active US7994108B2 (en) 2005-01-07 2006-01-09 Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings

Country Status (9)

Country Link
US (2) US20060154186A1 (fr)
EP (2) EP1844367A4 (fr)
JP (1) JP2008527447A (fr)
KR (1) KR101365784B1 (fr)
CN (2) CN101137939B (fr)
IL (1) IL184483A0 (fr)
SG (1) SG164385A1 (fr)
TW (1) TWI426361B (fr)
WO (1) WO2006074316A1 (fr)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080242574A1 (en) * 2005-06-07 2008-10-02 Advanced Technology Materials, Inc Metal and Dielectric Compatible Sacrificial Anti-Reflective Coating Cleaning and Removal Composition
US20080261847A1 (en) * 2005-11-09 2008-10-23 Advanced Technology Materials, Inc. Composition and Method for Recycling Semiconductor Wafers Having Low-K Dielectric Materials Thereon
US20080305443A1 (en) * 2007-06-11 2008-12-11 Hiroko Nakamura Pattern forming method using relacs process
US20090212021A1 (en) * 2005-06-13 2009-08-27 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
US20090215658A1 (en) * 2005-10-05 2009-08-27 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20100065530A1 (en) * 2007-02-06 2010-03-18 Advanced Technology Materials, Inc COMPOSITION AND PROCESS FOR THE SELECTIVE REMOVE OF TiSiN
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US20100163788A1 (en) * 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
US20100261632A1 (en) * 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US20110151653A1 (en) * 2009-12-21 2011-06-23 International Business Machines Corporation Spin-on formulation and method for stripping an ion implanted photoresist
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10947484B2 (en) 2016-05-23 2021-03-16 Fujifilm Electronic Materials U.S.A., Inc. Stripping compositions for removing photoresists from semiconductor substrates
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US11208616B2 (en) 2019-04-24 2021-12-28 Fujifilm Electronic Materials U.S.A., Inc. Stripping compositions for removing photoresists from semiconductor substrates
US11413662B2 (en) 2017-01-05 2022-08-16 SCREEN Holdings Co., Ltd. Substrate cleaning apparatus and substrate cleaning method
US11919051B2 (en) 2017-01-05 2024-03-05 SCREEN Holdings Co., Ltd. Substrate cleaning apparatus and substrate cleaning method

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
KR20080059442A (ko) * 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
CN105543023A (zh) * 2007-08-22 2016-05-04 大金工业株式会社 残渣除去液、残渣除去方法和半导体设备的制造方法
KR20110018775A (ko) * 2009-08-18 2011-02-24 삼성전자주식회사 컬러 필터 박리용 조성물 및 이를 이용한 컬러 필터 재생 방법
JP5321389B2 (ja) * 2009-09-28 2013-10-23 東ソー株式会社 レジスト剥離剤及びそれを用いた剥離方法
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
KR102105381B1 (ko) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
SG11201407650VA (en) 2012-05-18 2014-12-30 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
TWI546850B (zh) * 2014-11-14 2016-08-21 群創光電股份有限公司 顯示面板之製備方法
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
US10072237B2 (en) * 2015-08-05 2018-09-11 Versum Materials Us, Llc Photoresist cleaning composition used in photolithography and a method for treating substrate therewith
WO2023114638A1 (fr) * 2021-12-15 2023-06-22 Versum Materials Us, Llc Compositions pour éliminer une résine photosensible et un résidu de gravure d'un substrat avec un inhibiteur de corrosion du cuivre et leurs utilisations

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4744834A (en) * 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5390356A (en) * 1992-05-05 1995-02-14 The United States Of America As Represented By The Secretary Of The Navy Rapid reprogramming terminal
US5419779A (en) * 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5468423A (en) * 1992-02-07 1995-11-21 The Clorox Company Reduced residue hard surface cleaner
US5849467A (en) * 1996-01-29 1998-12-15 Tokyo Ohka Kogyo Co., Ltd. Method for the pre-treatment of a photoresist layer on a substrate surface
US5972862A (en) * 1996-08-09 1999-10-26 Mitsubishi Gas Chemical Cleaning liquid for semiconductor devices
US6120978A (en) * 2000-01-06 2000-09-19 Air Products And Chemicals, Inc. Use of N,N-dialkyl ureas in photoresist developers
US20010014534A1 (en) * 2000-01-25 2001-08-16 Nec Corporation Stripper composition and stripping method
US20010021488A1 (en) * 1999-12-27 2001-09-13 Naoki Ichiki Remover composition
US6326130B1 (en) * 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US6375822B1 (en) * 2000-10-03 2002-04-23 Lev Taytsas Method for enhancing the solderability of a surface
US20020128164A1 (en) * 2000-11-30 2002-09-12 Tosoh Corporation Resist stripper
US6500270B2 (en) * 1997-10-28 2002-12-31 Sharp Corporation Resist film removing composition and method for manufacturing thin film circuit element using the composition
US20030083214A1 (en) * 2000-03-21 2003-05-01 Masahiko Kakizawa Semiconductor wafer cleaning agent and cleaning method
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US20040180300A1 (en) * 2002-12-20 2004-09-16 Minsek David W. Photoresist removal
US20040224866A1 (en) * 2003-02-19 2004-11-11 Hiroshi Matsunaga Cleaning solution and cleaning process using the solution
US20050176603A1 (en) * 2004-02-11 2005-08-11 Hsu Chien-Pin S. Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
US20050197265A1 (en) * 2004-03-03 2005-09-08 Rath Melissa K. Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050263743A1 (en) * 1998-07-06 2005-12-01 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US7994108B2 (en) * 2005-01-07 2011-08-09 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US8058219B2 (en) * 2005-10-13 2011-11-15 Advanced Technology Materials, Inc. Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3821231A1 (de) * 1987-06-25 1989-01-05 Siemens Ag Entschichterloesung fuer gehaertete positivlacke
JP3315749B2 (ja) * 1993-02-24 2002-08-19 日立化成工業株式会社 水溶性レジストの剥離方法及び剥離液
JPH07247498A (ja) * 1994-03-09 1995-09-26 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤及び配線パターンの形成方法
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US6531436B1 (en) * 2000-02-25 2003-03-11 Shipley Company, L.L.C. Polymer removal
DE60108286T2 (de) * 2000-03-27 2005-12-29 Shipley Co., L.L.C., Marlborough Entfernungsmittel für Polymer
JP2002072505A (ja) * 2000-08-29 2002-03-12 Nagase Kasei Kogyo Kk フォトレジスト剥離剤組成物およびその使用方法
JP2003005383A (ja) * 2000-11-30 2003-01-08 Tosoh Corp レジスト剥離剤
US6927266B2 (en) * 2001-02-22 2005-08-09 Nissan Chemical Industries, Ltd. Bottom anti-reflective coat forming composition for lithography
TWI275903B (en) * 2001-03-13 2007-03-11 Nagase Chemtex Corp A composition for stripping photo resist
JP2003213463A (ja) * 2002-01-17 2003-07-30 Sumitomo Chem Co Ltd 金属腐食防止剤および洗浄液
JP3854523B2 (ja) * 2002-03-29 2006-12-06 メルテックス株式会社 レジスト剥離剤
KR100974034B1 (ko) * 2002-11-08 2010-08-04 와코 쥰야꾸 고교 가부시키가이샤 세정액 및 이것을 이용한 세정방법
US20060094613A1 (en) * 2004-10-29 2006-05-04 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4744834A (en) * 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5468423A (en) * 1992-02-07 1995-11-21 The Clorox Company Reduced residue hard surface cleaner
US5390356A (en) * 1992-05-05 1995-02-14 The United States Of America As Represented By The Secretary Of The Navy Rapid reprogramming terminal
US6326130B1 (en) * 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5419779A (en) * 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5849467A (en) * 1996-01-29 1998-12-15 Tokyo Ohka Kogyo Co., Ltd. Method for the pre-treatment of a photoresist layer on a substrate surface
US5972862A (en) * 1996-08-09 1999-10-26 Mitsubishi Gas Chemical Cleaning liquid for semiconductor devices
US6500270B2 (en) * 1997-10-28 2002-12-31 Sharp Corporation Resist film removing composition and method for manufacturing thin film circuit element using the composition
US20050263743A1 (en) * 1998-07-06 2005-12-01 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US20010021488A1 (en) * 1999-12-27 2001-09-13 Naoki Ichiki Remover composition
US6120978A (en) * 2000-01-06 2000-09-19 Air Products And Chemicals, Inc. Use of N,N-dialkyl ureas in photoresist developers
US20010014534A1 (en) * 2000-01-25 2001-08-16 Nec Corporation Stripper composition and stripping method
US20030083214A1 (en) * 2000-03-21 2003-05-01 Masahiko Kakizawa Semiconductor wafer cleaning agent and cleaning method
US6375822B1 (en) * 2000-10-03 2002-04-23 Lev Taytsas Method for enhancing the solderability of a surface
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US20020128164A1 (en) * 2000-11-30 2002-09-12 Tosoh Corporation Resist stripper
US20040180300A1 (en) * 2002-12-20 2004-09-16 Minsek David W. Photoresist removal
US20040224866A1 (en) * 2003-02-19 2004-11-11 Hiroshi Matsunaga Cleaning solution and cleaning process using the solution
US20050176603A1 (en) * 2004-02-11 2005-08-11 Hsu Chien-Pin S. Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
US20050197265A1 (en) * 2004-03-03 2005-09-08 Rath Melissa K. Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7994108B2 (en) * 2005-01-07 2011-08-09 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US8058219B2 (en) * 2005-10-13 2011-11-15 Advanced Technology Materials, Inc. Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080242574A1 (en) * 2005-06-07 2008-10-02 Advanced Technology Materials, Inc Metal and Dielectric Compatible Sacrificial Anti-Reflective Coating Cleaning and Removal Composition
US8951948B2 (en) 2005-06-07 2015-02-10 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US9422513B2 (en) 2005-06-07 2016-08-23 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US20090212021A1 (en) * 2005-06-13 2009-08-27 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
US7922824B2 (en) 2005-10-05 2011-04-12 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US9443713B2 (en) 2005-10-05 2016-09-13 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20090215658A1 (en) * 2005-10-05 2009-08-27 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US8765654B2 (en) 2005-10-05 2014-07-01 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US8642526B2 (en) 2005-11-09 2014-02-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US20080261847A1 (en) * 2005-11-09 2008-10-23 Advanced Technology Materials, Inc. Composition and Method for Recycling Semiconductor Wafers Having Low-K Dielectric Materials Thereon
US20100163788A1 (en) * 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
US20100065530A1 (en) * 2007-02-06 2010-03-18 Advanced Technology Materials, Inc COMPOSITION AND PROCESS FOR THE SELECTIVE REMOVE OF TiSiN
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US20080305443A1 (en) * 2007-06-11 2008-12-11 Hiroko Nakamura Pattern forming method using relacs process
US20100261632A1 (en) * 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US20110151653A1 (en) * 2009-12-21 2011-06-23 International Business Machines Corporation Spin-on formulation and method for stripping an ion implanted photoresist
US8252673B2 (en) * 2009-12-21 2012-08-28 International Business Machines Corporation Spin-on formulation and method for stripping an ion implanted photoresist
US8455420B2 (en) 2009-12-21 2013-06-04 International Business Machines Corporation Spin-on formulation and method for stripping an ion implanted photoresist
US8563408B2 (en) 2009-12-21 2013-10-22 International Business Machines Corporation Spin-on formulation and method for stripping an ion implanted photoresist
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US10392560B2 (en) 2011-12-28 2019-08-27 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US10947484B2 (en) 2016-05-23 2021-03-16 Fujifilm Electronic Materials U.S.A., Inc. Stripping compositions for removing photoresists from semiconductor substrates
US11413662B2 (en) 2017-01-05 2022-08-16 SCREEN Holdings Co., Ltd. Substrate cleaning apparatus and substrate cleaning method
US11919051B2 (en) 2017-01-05 2024-03-05 SCREEN Holdings Co., Ltd. Substrate cleaning apparatus and substrate cleaning method
US11208616B2 (en) 2019-04-24 2021-12-28 Fujifilm Electronic Materials U.S.A., Inc. Stripping compositions for removing photoresists from semiconductor substrates

Also Published As

Publication number Publication date
EP1844367A4 (fr) 2011-08-31
EP2482134A3 (fr) 2012-11-07
WO2006074316A1 (fr) 2006-07-13
KR101365784B1 (ko) 2014-02-20
TW200629012A (en) 2006-08-16
CN101137939A (zh) 2008-03-05
CN101137939B (zh) 2014-09-03
TWI426361B (zh) 2014-02-11
SG164385A1 (en) 2010-09-29
EP1844367A1 (fr) 2007-10-17
IL184483A0 (en) 2007-10-31
CN104199261B (zh) 2019-07-09
US20090215659A1 (en) 2009-08-27
CN104199261A (zh) 2014-12-10
US7994108B2 (en) 2011-08-09
JP2008527447A (ja) 2008-07-24
KR20070099012A (ko) 2007-10-08
EP2482134A2 (fr) 2012-08-01

Similar Documents

Publication Publication Date Title
US7994108B2 (en) Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7888301B2 (en) Resist, barc and gap fill material stripping chemical and method
CN101233456B (zh) 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
US6825156B2 (en) Semiconductor process residue removal composition and process
US8772214B2 (en) Aqueous cleaning composition for removing residues and method using same
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
RU2551841C2 (ru) Композиции для удаления резиста и способы изготовления электрических устройств
US20070149430A1 (en) Formulation for removal of photoresist, etch residue and BARC
KR100849913B1 (ko) 수성 세정 조성물 및 이를 이용하는 방법
KR20200088821A (ko) 반도체 기판으로부터 식각 후 또는 애싱 후 잔여물을 제거하는 세정 조성물 및 상응하는 제조 방법

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MINSEK, DAVID W.;WANG, WEIHUA;BERNHARD, DAVID D.;AND OTHERS;REEL/FRAME:016178/0392

Effective date: 20050104

AS Assignment

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: CORRECTED ASSIGNMENT FOR REEL/FRAME 016178/0392 RECORDED 1/7/05;ASSIGNORS:MINSEK, DAVID W.;WANG, WEIHUA;BERNHARD, DAVID D.;AND OTHERS;REEL/FRAME:017427/0456

Effective date: 20050104

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION