TWM275531U - Gas distributor having directed gas flow - Google Patents

Gas distributor having directed gas flow Download PDF

Info

Publication number
TWM275531U
TWM275531U TW093214989U TW93214989U TWM275531U TW M275531 U TWM275531 U TW M275531U TW 093214989 U TW093214989 U TW 093214989U TW 93214989 U TW93214989 U TW 93214989U TW M275531 U TWM275531 U TW M275531U
Authority
TW
Taiwan
Prior art keywords
gas
baffle
chamber
distributor
gas distributor
Prior art date
Application number
TW093214989U
Other languages
English (en)
Inventor
Laxman Murugesh
Padmanabhan Krishnaraj
Carl Dunham
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TWM275531U publication Critical patent/TWM275531U/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

M275531 八、新型說明: 【新裂所屬技名餘領域】 背景 本新塑係有關於在一基板處理室中引導氣济 5 【先前椅】 10 15 20 在半導體及顯示器的製造中,材料係藉由氧化氮化, 離子植入’化學蒸氣沉積(CVD)以及物理蒸氣沉積(pvD)方 法形成在一基板上。基板沉積材料亦可蝕刻,以形成譬如 連接線’閘Η及障壁等裝置。在處理過程中,殘餘物會沉 積在室壁之内表面以及暴露的室構件上。殘餘物可包括使 =或飿刻的材料’以及在處理過程中由化學或物理性反應 中產生的其他材料。殘餘物亦可能會以不均勻的方式沉積 =面上。譬如,殘餘物在靠近㈣人σ孔或Μ目標物 %成較厚的層,而在室的其他區上則大體上無殘餘物。 除的H物須週期性地自室壁及構件的表面上清除。未清 譬如,餘物會降級室中執行的方法,並減少基板的產量。 落,因崎物可能會在沉積方法中自室壁上以薄片狀剝 口孔及彡成在基板上㈣。此外,收集在氣體入 或組合4 D孔上或附近的殘餘物會負面地影響到氣流速率 上製i物。基板或在製造過程中造成的污染會導致在基板 乂的裝置之不可信賴度或不可操作性。 中的表一清潔方法?,殘餘物藉由一種濕洗清潔方法自室 上。^面上清除’其中操作者將流體溶劑塗敷於室的表面 “、' π凓方法通常係以人工方式執行,因此速度慢且 M275531 無效率,使得清潔的時間拉長,或無法作完全的清潔。譬 如,不同的室操作者可能會以不同的力量刮刷室壁,因而 造成一組基板與另一組基板在室的清潔上具有不同的程 度。 5 人們亦使用乾洗方法,其中使用激勵清潔氣體清除室 表面上的殘餘物。然而,乾洗方法有其他的問題。譬如, 具有不均勻殘餘物的表面須要較長時間地暴露在清潔氣體 下,以清潔具有較厚殘餘物的區,因而具有較厚殘餘物的 室表面上會腐蝕或降級。頑強的化學品或難以清潔的殘餘 10 物亦可能須要較長時間地暴露至清潔氣體中,或須使用高 腐蝕清潔氣體,因而產生類似的問題。此外,高腐蝕性清 潔氣體亦可能會較具毒性,或造成環境污染。 習知的乾洗方法的另一問題在於處理氣體及清潔氣體 使用同一氣體分配系統。此氣體分配系統一般會在室中以 15 均勻的方式橫跨基板表面分配處理氣體,以使基板的處理 最佳化。然而,由於在室中清潔氣體之理想的分配與處理 氣體的分配具有不同的需求,習知氣體分配系統無法令人 滿意地清潔形成在室内表面上的殘餘物。 因此,人們須要一種可清除非均勻地沉積在室表面 20 上,或頑強的化學品的殘餘物,且不過度腐蝕表面的清潔 方法。最好可橫跨室表面分配清潔氣體,以有效且理想地 清除殘餘物。 【新型内容】 M275531 一氣體分配器橫跨一基板處理室的表面分配氣體。該 氣體分配器有一輪轂,自輪轂徑向向外延伸的一障板,第 一組葉片及第二組葉片。在一設計中,輪轂具有一氣體入 口孔以及一氣體出口孔。障板具有相對的第一及第二表 5 面。第一組葉片在障板的第一表面上,其引導氣體橫跨室 表面。在一設計中,第一葉片包括自輪轂向外彎曲且逐漸 尖細的弧形平板。第二葉片在障板的第二表面上,並引導 氣體橫跨障板的第二表面。在一設計中,在輪轂上的一氣 體灌注管可允許氣體避開第一及第二組葉片。 10 具有氣體分配器的一基板處理裝置包括激勵清潔氣體 的一遠方室及一處理室。氣體分配器自遠方室接收清潔氣 體,並沿著處理室的内表面,繞著氣體分配器分配清潔氣 體至處理室中。 清潔在一基板處理室中的表面之方法包括連接能量至 15 在一遠方室中的清潔氣體,以形成激勵清潔氣體;引導激 勵清潔氣體的第一部份橫跨一室表面;以及引導激勵清潔 氣體的第二部份橫跨面對基板的氣體分配器的一表面。 圖式 本新型的這些特徵及優點將在下文中配合圖式及實施 20 例的說明中更加清楚。然而,須瞭解的是各特徵非僅以圖 中所示方式使用,其可一般性地應用於本新型中。且本新 型包括這些特徵的任何組合。 第1圖為依據本新型的一氣體分配器之一實施例的立 體圖; 7 M275531 第2圖為第1圖的氣體分配器的側視圖; 第3A圖為第1圖的氣體分配器的頂視圖; 第3B圖為第1圖的氣體分配器的底視圖; 第4圖為氣體分配器的第二組葉片的-實施例; 第5圖為具有该氣體分配器之一基板處理室的一實施 例之概略截面圖; 第6A圖為與一蓮蓬頭形式的處理氣體分配器併用的氣 體刀配裔之一實施例的概略截面圖;而 第6B圖為氣體分配器及蓮蓬頭的概略底視圖。 10 【實施冷式】 詳細說明 如第1及2圖中所示的一氣體分配器20之一實施例包括 具有安裝在-室壁3G的—孔穴26中之—第_端的一輪較 22。該輪轂22具有接收氣體的一氣體入口孔32, 15 M及用以 7刀配接收之氣體橫跨過一室的内部中不同表面的—氣體 口孔34。輪轂22包括數個具有開口 38及一終點46的第二出 槽36。氣體入口孔32由第一凹槽36之開口 %所界定 凹 一外源接收氣體,如基板處理氣體或清潔氣體。在〜且自 中,第一凹槽36由在與室壁30上的孔穴26之〜表 叹叶 的輪轂22之外表面42上的第一凹口40所界定。卷目配 田輪轂22您 封在孔穴26中時,第一凹口40界定第一凹槽36於外 … 、卜表面42 及孔穴26的表面28之間。在一設計中,氣體出D ^ 孔34包接 第一凹槽36的終點46。第一凹槽36亦可以其他方式界 譬如,一扁平(無凹口)的外輪轂表面42與一具凹 ’ 的内輪轂 20 M275531 表面28(未顯示)之結合。類似地,兩個表面可均具有凹口, 切口,或突出區,或兩個表面亦可均為平滑的,且第一凹 槽36由在輪轂外表面及孔穴表面28(未顯示)之間的一間隙 所形成。 輪轂22亦包括數個第二凹槽48,其具有自第一凹槽36 接收氣流的開口 49。氣體分配器20的氣體出口孔34亦包括 第二凹槽48的一終點50。在一設計中,第二凹槽48包括自 10 15 第一凹槽36的終點46沿著輪轂22至輪轂22的一第二端之第 二凹口 52。包括第一凹槽36的終點46及第二凹槽48的終點 50之氣體出口孔34配置成可引導氣體繞著氣體分配器2〇且 棱跨過在室中的不同表面。譬如,第一凹槽36的終點46可 配置成引導氣體橫跨第一室表面,而第二凹槽48的終點5〇 疋位成可引導氣體橫跨第二室表面。氣體分配器2〇可同 來,譬如,引導清潔氣體橫跨過各表面,以較有效地清潔 殘餘物的兩面。氣體分配器20亦可同來引導氣體,如基板 處理氣體,橫跨過氣體反應表面,如室的側壁或頂,以使 氣體較均勻地分佈在室中,以使基板處理的效果較佳。 在第1及2圖中,氣體分配器20亦包括定位在輪轂22的 第二端54上且徑向地自輪轂22向外延伸的—障板%。障板 56具有相對的第—(或頂)表面58以及-第二(或底)表面 6〇第纟面58配置成可引導自第一凹槽36的終點46接收 的氣體之至少—部份的氣流59,沿著—特定方向或室中的 表面抓動。言如’第—表面58可以相對於自第-凹槽36的 終點46流出的氣體流動方向的 一傾斜角度定位,或大體上 20 M275531 垂直於第2圖中所示的流動方向定位。譬如,障板56的第一 表面藉由備置與壁間隔且平行的一氣體引導表面,配置成 可沿著一特定表面,如一室壁,室的頂部或側壁,引導氣 體。在圖中,障板56自輪轂22的第二端54徑向地向外延伸, 5 但須瞭解的是障板56亦可定位在沿著輪轂22的其他位置,
言如在弟一端210或輪毅22的中點。此外’如圖所示,障板 56包括對稱地繞著輪轂22而配置的一圓形板62。然而,障 板56亦可包括一非圓形板,如矩形,或星形,且亦可相對 於輪轂22非對稱地定位。 10 障板56包括定位成可自第一凹槽36的終點46接收至少 一部份氣體,並沿著輪轂22的第二凹槽48流動。在一設計 中’孔徑64與障板56及輪轂22的接合處交會。孔徑64亦可 延伸至輪轂22與障板56之接合部之外。障板孔徑64自第一 表面58通過障板56至障板56的第二表面60。孔徑64及障板
15的第二表面60之接合部形成第二凹槽48的終點50。障板孔 徑64形成第二凹槽48通過障板56至第二凹槽48的終點50之 一路徑。 在一設計中,輪轂22亦可具有一氣體灌注管66,以允 許氣體直接進入室中,氣體灌注管66自輪轂22的第一端24 20通過輪轂22的中間延伸至輪轂22的第二端54。氣體由在轂 輪22的第一端24上的灌注管66之一入口孔68所接收,並避 開第一及第二凹槽36、48直接經由在輪轂22的第二端54上 的氣體灌注出口孔70進入室中。通過管66而灌注的氣體允 許氣體直接釋放至室中。當氣體分配器2〇須要兩個氣體通 10 M275531 路時,譬如一個通路用以釋出清潔表面的清潔氣體,而另 一通路用以釋出處理在基板上之構件的處理氣體,此種設 計十分有用。分開的氣體通路減少了腐钱性清潔或餘刻氣 體造成凹槽的腐蝕,或沉積氣體在凹槽之内表面上的沉 5積。同時,清潔氣體可沿著必要的表面引導,而不直接灌 注室中。 ,一小",π ,六曰術殺22沿著 10 15 障板56的第-表面58向外延伸。第一葉片%與障板%朝向 外引導來自輪較22的第-凹槽36之終點46之氣體的一部份 59,並橫跨室的表面。在一設計中,各第一葉片%包括: 輪轂22朝向障板56的一週邊78向外彎曲的一弧形板%,在 此設計的-實施例中,弧形板76在自輪較22向外延伸日士, 弧形板76逐漸尖細。如第从圖之頂視圖所示,弧了 形式如螺旋形式中的對等元件,弧形板⑽螺旋形 ^分配-朝向外,螺旋方向的動作至橫跨室表面的—氣體 :5^如清潔氣體’該螺旋形氣流藉由允許氣體較均句地 些表面錢佳地清潔室,並減少料的氣體區 句地分配之清潔氣體藉由循環室中的角落句 滞氣體較纽地料錄自。❹卜 的停 室表面的腐轴,因為氣趙的較慢流速可:有=之 中之可能性。第-荦片雇^路至過多的腐麵氣體 月以生弟茱片74的其他實施例可 %成-不同的形式,《使清潔氣趙之氣流 ^板 橫跨室的表面。其他形式可包括彎曲或對稱之不不同同^向 20 M275531 曰含周身之士 ° 、配合清泳氣體的種類,或配合擬清潔之殘餘物 的組合及伋置。 氣仏分配器20亦包括在障板56之第二表面60上的第二 組葉片8〇。筮一朴u y 、 昂一茶片80疋位成至少部份地在第二凹槽48的 5 終點50之卞 ^ ^ ^ _ 。自第二凹槽48的終點50流出的第一部份82由 "葉片8〇再弓丨導,以橫跨過障板56之第二表面60而流 立 第一部份84不受抑制地進入處理室中。流體通過 早,56之第二表面60之流動清潔此表面60,因此,氣體分 1〇 6时2〇可自行清潔。該自行清潔特別有用,因為第二表面 〇 6〇大體上面對室内的基板而其上容易累積殘餘物,且因此 γ餘物^罪近室中處理步驟集中的處理區。此點較習知氣 體刀配為具有顯著的優點,因為習知的氣體分配器允許殘 餘物累積在暴露至室中的電漿或處理氣體環境之表 面上, 15 得刀配杰無法暴露出,以引導清潔氣體的氣流。 各第一葉片80包括相對於障板第二表面6〇傾斜的一表 面86,如第4圖所示,以引導氣流。在一設計中,傾斜的表 面86成對地配置。傾斜表面之成對8如山配置有助增加其功 把在第3B圖中所示的實施例巾,兩個表面%以9〇度角相 互對μ,以形成一對表面86a,b。_單一對表面8如力可引導 氣體的一部份橫跨障板第二表面60的一扇形部9〇。第二表 面60可分隔成數個扇形部9〇。在第3b圖中所示的實施例 中,第二表面60的扇形部9〇包括第二表面60的四分之〆。 第二表面60的各四分之一自一對表面8如上接收清潔氣體 流。 12 M275531 在其他實施例中,第二葉片80可包括單一的或成對的 傾斜表面86之不同的配置。傾斜表面86之其他成對8如力配 置可備置另一種分配第二表面6〇成扇形部9〇。該傾斜表面 86亦可單獨地配置成一圖樣。整體而言,由第二葉片表面 5 86所處理的扇形部90之組合包括大體上整個第二表面6〇, 以備置第二表面6〇的清潔。在一設計中,傾斜表面%為定 位在障板孔徑64下的配置成一圖樣之平板92。譬如,平板 92可朝向彼此彎曲,以形成楔形部94。楔形部定向成以 其頂點95朝向障板56的第二表面60。因此,在此設計下, 10第二葉片80包括數個定位在障板孔徑64下的楔形部94,以 其頂點95,至少部份地定位在第二表面60上。 15 20 傾斜表面86相對於第二表面60的傾斜角度,即如第 圖之0角,可少於90度,或最好大約為5度至大約6〇声 0角可在此範圍内改變,以控制清潔氣體流橫跨第_ 此 60流的再引導角度。一較小的傾斜角θ可再引 表面 份的清潔流體橫跨第二表面60。一較大的傾斜角0。 〇1 導一較小部份的清潔流體。被再引導而橫跨 可再引 八3布—表面6〇 清潔氣體量亦可藉由選擇孔徑64之尺寸及第二# 又 的區而控制。 ’、表面86 依據本新型的氣體分配器2 〇可包括很多種材料 金屬,半導體,陶瓷,玻璃,聚合物,塑膠或任何其包括 於用在基板處理室中的材料,譬如,在一設計中一他適 配态20可包括至少|呂,氮化紹,氧化銘之一。& 5 ~刀 。氣體分配哭 20可以許多種方法製造,包括以機器,製造, 00 煨k,鑄造, 13 M275531 燒結,組合,接合或任何其他適於用在基板處理室中的構 件製造的製造方法。 在一實施例中,氣體分配器20備置清潔氣體,以清潔 化學蒸氣沉積方法,如高密度電漿CVD(HDP-CVD)方法中產 5 生的殘物。採用此方法的一基板處理室96為加州Santa Clara之應用材料生產的Ultima Plus HDP-CVD處理室。一 例示的HDP-CVD基板處理室之實施例概略地顯示於第5圖 中。室96可以數種材料製造,包括金屬,陶瓷,玻璃,聚 合物及合成材料。室96之製造,使得其可承受包括極端溫 ίο 度及壓力之處理環境,以及氣體及電漿的出現。第5圖中所 示的室96為一種基板處理室的一實例,其中可使用氣體分 配器20,但氣體分配器20亦可用於其他種基板處理室中。 處理室96包括室壁30,而壁包括底壁98,側壁1〇〇及底 壁102。室壁30可包括扁平,矩形,弧形,錐形,圓頂或多 15半徑弧形,如第5圖所示。室壁30界定在擬處理的一基板1〇6 上的一處理區104,基板1〇6基本上支持在一基板支持元件 108上的處理區1〇4上,而基板支持元件1〇8可包括一基板支 持部110,譬如,可再激勵,並以靜電支持基板1〇6之一靜 電夾頭。 20 一處理氣體供應器H1包括一處理氣體入口孔113,一 處理氣體源112,以及一處理氣體閥ι14。譬如,在第5圖中 所示的設計下,處理氣體入口孔113包括形成為繞著處理區 1〇4且可均勻地輸送處理氣體至處理區104的一氣體環118 之喷孔116。自處理氣體源112流至噴孔116的處理氣體之流 14 M275531 動速率以處理氣體閥m控制。在處理區1〇4中的氣體為一 排氣裝置119所消耗,該排氣裝置119包括一如旋轉分子果 力排氣泵120,以及具有至少一閱,&譬如一雙葉片節流 閥122以及-閘門閥124,以控制室%内氣體之流動及壓力 5的一排乳導官121。處理氣體供應器111及排氣裝置119以一 控制器126所控制。 至96匕括内至表面,處理殘餘物沉積在其上,譬如 在處理基板106時暴露至處理氣體巾的表面128。内室表面 128可包括構件的表面,如室壁3〇,基板支持部110,支持 10元件108,里氣體噴孔116,節流閥122或暴露至室之内 部的其他構件。 氣體可藉由適於連接RF或微波能量至處理區104中的 氣體之一氣體激勵器129在室96中激勵。在一設計中,氣體 激勵器129包括感應器線圈,其包括一頂線圈13〇,以及可 15以RF電源134激勵以連接RF能量至氣體的一側線圈132。此 雙線圈系統允許在處理室%中徑向離子密度的控制,以改 良電漿之均勻度。雖然雙線圈系統允許例示的電漿之控 制,適合本新型的一室96可僅包括一氣體激勵器129,其具 有連接能量的-線圈或電極,或連接微波能量的一微波激 20 勵器。 在-設計中,氣體分配器2〇為一清潔氣體供應器136 的-部份,而清潔氣體供應器136包括一清潔氣體源138, -清潔氣體肋㈣⑽,_遠方室或區142,以及一清潔 氣體流動控制閥146。遠方室142包括一氣體入口孔148,一 15 M275531 遠方氣體激勵器144,以及一氣體出口孔15〇。清潔氣體可 藉由可連接R F或微波能量至氣體的遠方氣體激勵器丨4 4在 遠方室142中激勵。氣體出口孔150及實際的構造及其通過 清潔氣體流動控制閥146與處理室96的連接可依據激勵清 5潔氣體的種類而改變。重要的是當-激勵清潔氣體通過遠 方室142至處理室96時,必須限制該氣體移動的距離。在藉 由氣體分配器20分配至處理室96中之後,清潔氣體的激勵 狀態可選擇地由室氣體激勵器129所維持,譬如,施加RF 電力至頂線圈130,及側線圈132。可選擇地,清潔氣體可 10先以至氣體激勵器129而非遠方氣體激勵器144激勵。 室96包括一電力供應器152,以提供適當的偏動電壓至 如基板支持元件108,靜電夾頭11〇及頂壁98之構件。室96 亦包括具有程式碼,以控制室96之構件的控制器126。嬖 如,控制器126可包括控制流動至室96中的氣體之氣流控制 15碼,氣體激勵控制碼,基板移動控制碼,溫度控制碼,廢 氣系統控制碼,以及基板處理室96之操作所需的其他控制 碼。 清潔氣體可依據擬自室96中移除之殘餘物的種類具有 不同的化學組合物。清潔氣體可包括活潑及惰性成份。活 20潑成份可化學性地與殘餘物相互作用,以移除它們。惰性 成份有助於活潑成份之激勵。惰性成份亦可創造殘餘物被 移除的飛濺效果。活潑及惰性成份總是可相互辨別,且可 增加彼此的清潔效果。 在操作時,擬處理的一基板106以一基板運送器,如機 16 M275531 =移動至處理室96,且定位在基板支持部no上。處 二4由處理氣體供應器m備置在處理區刚中,且以 ;:里激勵器129激勵,以處理基板。譬如,在-設計下, 處^讀可包括⑽氣體,而沉積氣體包括至少雜, 乳’以及11之一,其會沉積至少氧化石夕,氮化石夕,以 氣石夕酸鹽之—破壤於基板觸上,以在室96中的表面128 、歹成餘物處理氣體亦可為姓刻氣體,如氟,SF6,氯, 10 ―、及①激勵之清潔氣體藉由清潔氣體供應H 136備置 二至96中’以清潔表面128。譬如,清潔氣體可包括至少 2 6 X及CF4之一。氣體精由排氣裝_置119自室96中排 出。 依據本新型的另一特徵,氣體分配器20可與一處理氣 體分配器156共同形成一組合氣體分配器154,以分配清潔 氣體。該組合氣體分配器154包括灌注處理氣體至室96中的 15處理氣體分配器156,以及安裝在處理氣體分配器150中以 備置清潔氣體至室96中的清潔氣體分配器2〇。氣體分配器 20可安裝至包括一蓮蓬頭式處理氣體分配器156之一處理 室96中。此種組合式氣體分配器154的概略圖如第6a、b圖 所示。一處理氣體自一處理氣體分配器入口孔157,通過一 20 蓮蓬頭氣體分配面板158灌注入室96中。該蓮蓬頭面板158 具有數個孔160,處理氣體通過該孔進入處理區104中,氣 體分配器20安裝在蓮蓬頭面板158之中間的下方。譬如,在 一設計下,氣體分配器20固定至蓮蓬頭面板158上的一孔徑 159,而蓮蓬頭面板158可容納氣體分配器20的輪轂,並在 17 M275531 氣體分配器20及譬如來自一清潔氣體供應器136的清潔氣 體流之間備置一連接部。一清潔氣體流供應至氣體分配器 2〇,其沿著處理氣體分配器156的表面引導清潔氣體,包括 蓮蓬頭面板158,進入室96中,並沿著室%的内表面流動。 5 本新型已配合某些較佳實施例說明如上。然而,本新 型可作具有其他改變,譬如,本新型的裝置或清潔方法可 使用於熟悉此技藝人士所瞭解的其他應用中的處理室。該 裝置或方法可應用至處理鍍濺之室,離子植入室,蝕刻室, 或其他種類的沉積室,包括熱CVD,電漿強化CVD 1〇 (PECVD),或可與其他種類的清潔方法併用。此外,具有 氣體分配器20的某些屬性之配置可依據應用的參數而改 變。譬如,第一組及第二組葉片74、8〇的配置可改變,以 符合不同種類的清潔氣體或不同種類或位置下的擬清潔之 殘餘物。因此,本新型之精神及範圍不應限於以上揭露的 15 較佳實施例。 【圖式簡單說明】 第1圖為依據本新型的一氣體分配器之一實施例的立 體圖; 第2圖為第1圖的氣體分配器的側視圖; 20 第3A圖為第1圖的軋體分配器的頂視圖; 第3B圖為第1圖的氣體分配器的底視圖; 第4圖為氣體分配器的第二組葉片的一實施例; 第5圖為具有該氣體分配器之一基板處理室的一實施 例之概略截面圖; 18 M275531 第6A圖為與一蓮蓬頭形式的處理氣體分配器併用的氣 體分配器之一實施例的概略截面圖;而 第6B圖為氣體分配器及蓮蓬頭的概略底視圖。 【主要元件符號說明】 20 氣體分配器 59 氣流 22 輪轂 60 第二(或底)表面 24 第一端 62 圓形板 26 孔穴 64 孔徑 28 表面 66 氣體灌注管 30 室壁 68 入口孑L 32 氣體入口孔 70 出口孔 34 氣體出口孔 74 葉片 36 第一凹槽 76 弧形板 38 開口 78 週邊 40 第一凹口 80 葉片 42 外輪轂表面 82 第一部份 46 終點 84 第二部份 48 第二凹槽 86 傾斜表面 49 開口 86a,b成對的傾斜表面 50 終點 90 扇形部 52 第二凹口 92 平板 54 第二端 94 楔形部 56 障板 95 頂點 58 第一(或頂)表面 96 基板處理室 19 頂壁 129 氣體激勵器 側壁 130頂線圈 底壁 132側線圈 處理區 134 RF電源 基板 136清潔氣體供應器 基板支持元件 138清潔氣體源 基板支持部 140清潔氣體源控制閥 處理氣體供應器 142遠方室 處理氣體源 144遠方氣體激勵器 處理氣體入口孔 146清潔氣體流動控制閥 處理氣體閥 148 氣體入口孔 喷孔 150氣體出口孔 氣體環 152電力供應器 排氣裝置 154組合氣體分配器 排氣泵 156處理氣體分配器 排氣導管 157處理氣體分配器入口孔 雙葉片節流閥 158蓮蓬頭氣體分配器面板 閘門閥 159孔徑 控制器 160孔 内室表面 210第一端 20

Claims (1)

  1. 989號專利申請案 申請專利範圍修女 04 1 Π
    配器,該氣體分配器包括·· (a)包括一氣體入口孔及一氣體出口孔的一輪轂; 5 (b)自輪轂徑向向外延伸的一障板,該障板具有相對 的第一及第二表面; (C)在障板的第一表面上的第一葉片;以及 (d)在障板的第二表面上的第二葉片; 务使得第一葉片引導接收之氣體橫跨一室表面,而第二 1〇 葉片引導接收之氣體橫跨障板的第二表面。 2.二申請專利範圍第旧的氣體分配器,其_該障板另包括 一外週邊’且其中各第—葉片包括自輪敎向外彎曲至障板 的外週邊之一弧形板。 Ή請專利範圍第2項的氣體分配器,其中各弧形板自輪 車又至ρ早板的外週邊逐漸尖細。 其中该輪數包括第 如申請專利範圍第1項的氣體分配器 而氣體出口孔包括第一凹槽的終點以及第 一及第二凹槽, 一凹槽的終點。 5·如申請專利範圍第4項的氣體分配器,其 數:固朝向障板之第二表一 部份在第二凹槽之終點下。 成對的傾斜表面, 面的一扇形部。 6·如申請專利範圍第1項的氣體分配器,其中第二葉片包括 其定向成可引導氣體橫跨障板之第二表 21 Μ27553Γ~' 「γ年:先‘ 3
    如申請專利範圍第丨項的氣體分配 數個楔形部。 器’其中第 二葉片包括 8·如申請專利範圍第1項的氣體分配器,其中第二葉片包括 以大約5度至60度角傾斜至障板的第二表面之表面。 如申%專利範圍第1項的氣體分配器,其中輪轂包括可允 許處理氣體避開第一及第二葉片,並進入室中的一氣體灌 注管。 10·—種組合處理及清潔氣體分配器,其包括用以分配清潔氣 體的如申請專利範圍第1項的氣體分配器,以及具有一處 理氣體入口孔以及一蓮蓬頭氣體分配面板的一處理氣體 分配器。 22
TW093214989U 2004-03-09 2004-09-20 Gas distributor having directed gas flow TWM275531U (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/797,286 US7431772B2 (en) 2004-03-09 2004-03-09 Gas distributor having directed gas flow and cleaning method

Publications (1)

Publication Number Publication Date
TWM275531U true TWM275531U (en) 2005-09-11

Family

ID=34920021

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093214989U TWM275531U (en) 2004-03-09 2004-09-20 Gas distributor having directed gas flow

Country Status (5)

Country Link
US (1) US7431772B2 (zh)
JP (1) JP3107055U (zh)
KR (1) KR200372524Y1 (zh)
CN (1) CN2794658Y (zh)
TW (1) TWM275531U (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005106936A1 (ja) * 2004-04-30 2005-11-10 Ebara Corporation 基板の処理装置
KR100574569B1 (ko) * 2004-04-30 2006-05-03 주성엔지니어링(주) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US8021492B2 (en) 2007-05-29 2011-09-20 United Microelectronics Corp. Method of cleaning turbo pump and chamber/turbo pump clean process
DE102007058053B4 (de) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusionsofen und Verfahren zur Erzeugung einer Gasströmung
KR101691558B1 (ko) * 2009-02-13 2016-12-30 갈리움 엔터프라이지즈 피티와이 엘티디 플라즈마 증착
KR101450015B1 (ko) 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
TW201117677A (en) * 2009-11-02 2011-05-16 Ind Tech Res Inst Plasma system including inject device
US20110305835A1 (en) * 2010-06-14 2011-12-15 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for a gas treatment of a number of substrates
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
WO2012115681A1 (en) * 2011-02-21 2012-08-30 Applied Materials, Inc. Ambient laminar gas flow distribution in laser processing systems
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
KR200476047Y1 (ko) 2013-10-08 2015-01-22 주식회사 테스 배플이 구비된 기판처리장치
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
CN103964495B (zh) * 2014-05-09 2015-09-16 攀钢集团攀枝花钢铁研究院有限公司 大型沸腾氯化炉及其气体分布器
CN105789014B (zh) * 2014-12-26 2018-10-09 中微半导体设备(上海)有限公司 一种实现均匀排气的等离子体处理装置
JP6792786B2 (ja) * 2016-06-20 2020-12-02 東京エレクトロン株式会社 ガス混合装置および基板処理装置
KR102343757B1 (ko) 2017-07-31 2021-12-27 어플라이드 머티어리얼스, 인코포레이티드 배플을 갖는 가스 공급 부재
CN107537410B (zh) * 2017-09-08 2020-02-18 攀钢集团攀枝花钢铁研究院有限公司 多管式气体分布器的导流结构
CN108878326A (zh) * 2018-06-27 2018-11-23 德淮半导体有限公司 注入器及其包含注入器的工艺装置
US10927461B2 (en) * 2018-08-31 2021-02-23 Applied Materials, Inc. Gas diffuser support structure for reduced particle generation
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
KR20220018515A (ko) * 2019-06-06 2022-02-15 피코순 오와이 기판 프로세싱 방법 및 장치
CN110923669B (zh) * 2019-11-26 2022-04-26 深圳市华星光电半导体显示技术有限公司 气体喷淋装置以及化学气相沉积方法
CN115668436A (zh) * 2020-05-20 2023-01-31 朗姆研究公司 远程等离子体清洁(rpc)定向流设备
TW202230442A (zh) * 2020-10-09 2022-08-01 美商蘭姆研究公司 無面板噴淋頭
CN112331588B (zh) * 2020-10-26 2024-05-17 北京北方华创微电子装备有限公司 半导体设备中的卡盘组件及半导体工艺设备
US12012653B2 (en) 2021-03-23 2024-06-18 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
CN114959645B (zh) * 2021-08-03 2023-09-22 江苏汉印机电科技股份有限公司 基于SiC功率器件的高速大面积CVD设备
WO2023069922A1 (en) * 2021-10-18 2023-04-27 Lam Research Corporation Apparatuses for cleaning a multi-station semiconductor processing chamber
CN113981364B (zh) * 2021-10-20 2023-07-21 中国航发沈阳黎明航空发动机有限责任公司 一种提高双联导向叶片渗铝层厚度均匀性的方法
US20230407478A1 (en) * 2022-05-27 2023-12-21 Applied Materials, Inc. Process kits and related methods for processing chambers to facilitate deposition process adjustability
US12014902B2 (en) 2022-08-15 2024-06-18 Applied Materials, Inc. System and method of cleaning process chamber components
US12083597B2 (en) * 2022-11-01 2024-09-10 Ge Infrastructure Technology Llc Direct metal laser printing gas manifold

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
DE3725358A1 (de) * 1987-07-30 1989-02-09 Telog Systems Gmbh Vorrichtung und verfahren zur oberflaechenbehandlung von materialien
JPH0732137B2 (ja) 1988-02-29 1995-04-10 東京エレクトロン東北株式会社 熱処理炉
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US4988644A (en) * 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
DE4132559A1 (de) 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
EP0537950B1 (en) 1991-10-17 1997-04-02 Applied Materials, Inc. Plasma reactor
EP0849766A3 (en) 1992-01-24 1998-10-14 Applied Materials, Inc. Etch process
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5698469A (en) * 1994-09-26 1997-12-16 Endgate Corporation Method of making a hybrid circuit with a chip having active devices with extra-chip interconnections
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW294820B (en) 1995-07-10 1997-01-01 Watkins Johnson Co Gas distribution apparatus
JP3862305B2 (ja) * 1995-10-23 2006-12-27 松下電器産業株式会社 不純物の導入方法及びその装置、並びに半導体装置の製造方法
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US8075789B1 (en) 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US6060400A (en) * 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6148832A (en) * 1998-09-02 2000-11-21 Advanced Micro Devices, Inc. Method and apparatus for in-situ cleaning of polysilicon-coated quartz furnaces
JP4809562B2 (ja) * 1999-12-22 2011-11-09 アイクストロン、アーゲー 化学気相成膜反応室
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6663025B1 (en) * 2001-03-29 2003-12-16 Lam Research Corporation Diffuser and rapid cycle chamber
US6986814B2 (en) * 2001-12-20 2006-01-17 General Electric Company Gas distributor for vapor coating method and container

Also Published As

Publication number Publication date
US20050199184A1 (en) 2005-09-15
JP3107055U (ja) 2005-01-27
US7431772B2 (en) 2008-10-07
KR200372524Y1 (ko) 2005-01-15
CN2794658Y (zh) 2006-07-12

Similar Documents

Publication Publication Date Title
TWM275531U (en) Gas distributor having directed gas flow
TWI836110B (zh) 溫度控制總成、及控制氣相反應器之溫度控制總成的溫度之方法
KR200469438Y1 (ko) 원자층 증착 챔버 및 부품들
US8069817B2 (en) Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
CN101563762B (zh) 为延长电极寿命具有气流修改的喷头电极总成
KR102222947B1 (ko) 화학 증착 장치
US5976261A (en) Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
KR20060096713A (ko) 고밀도 플라즈마 화학 기상 증착 장치
US20050048876A1 (en) Fabricating and cleaning chamber components having textured surfaces
CN101235482A (zh) 用于衬底处理腔室的工艺配件
CN104278253A (zh) 具有导通控制的化学沉积设备
KR20020012520A (ko) 기판 처리 챔버에 가스 흐름을 주입하는 방법 및 장치
KR20060117613A (ko) 반도체 제조 장치
WO2012075017A2 (en) Apparatus and process for atomic layer deposition
KR100900318B1 (ko) 박막증착장치용 샤워헤드 및 박막증착장치 세정방법
TWI580813B (zh) 加熱器構件及含其之基板處理裝置
JP2022540179A (ja) Cvdリアクタ用のガス導入部材
JP3097576U (ja) 基板処理用チャンバの為のガスノズル
KR20070002218A (ko) 화학기상증착장치
JP2009170869A (ja) エピタキシ成長のためのウェーハ用サセプタ
KR200455917Y1 (ko) 원자층 증착 챔버 및 부품들
KR20070069122A (ko) 반도체 제조 장치
JPS63103068A (ja) スパツタリング装置
CN111455332B (zh) 溅射腔室
JPH10247645A (ja) 半導体製造方法および半導体製造装置

Legal Events

Date Code Title Description
MK4K Expiration of patent term of a granted utility model