TWI824482B - 乾式蝕刻方法 - Google Patents

乾式蝕刻方法 Download PDF

Info

Publication number
TWI824482B
TWI824482B TW111114223A TW111114223A TWI824482B TW I824482 B TWI824482 B TW I824482B TW 111114223 A TW111114223 A TW 111114223A TW 111114223 A TW111114223 A TW 111114223A TW I824482 B TWI824482 B TW I824482B
Authority
TW
Taiwan
Prior art keywords
fluorine
carboxylic acid
containing carboxylic
acid
dry etching
Prior art date
Application number
TW111114223A
Other languages
English (en)
Other versions
TW202229515A (zh
Inventor
鈴木聖唯
八尾章史
Original Assignee
日商中央硝子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商中央硝子股份有限公司 filed Critical 日商中央硝子股份有限公司
Publication of TW202229515A publication Critical patent/TW202229515A/zh
Application granted granted Critical
Publication of TWI824482B publication Critical patent/TWI824482B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本發明之乾式蝕刻方法之特徵在於:使包含氟化氫及含氟羧酸之混合氣體於小於100℃且無電漿地與氮化矽接觸,蝕刻上述氮化矽。上述含氟羧酸之量較佳為上述氟化氫與上述含氟羧酸之合計量之0.01體積%以上。作為上述含氟羧酸,可列舉單氟乙酸、二氟乙酸、三氟乙酸、二氟丙酸、五氟丙酸、七氟丁酸等。藉由該乾式蝕刻方法,能以較高之蝕刻速率蝕刻氮化矽,對氧化矽及多晶矽具有較高之選擇比,可抑制對氧化矽之損傷。

Description

乾式蝕刻方法
本發明係關於一種以氮化矽(SiN)作為蝕刻對象之利用包含HF之氣體進行之乾式蝕刻方法。
於半導體元件之製造中,有於單晶矽基板上,進行自氮化矽(以下稱為SiN)與氧化矽(以下稱為SiO 2)及/或多晶矽(以下稱為p-Si)鄰接之結構而選擇性地蝕刻SiN之步驟之情形。
作為SiN之蝕刻方法,已知有使用熱磷酸之濕式蝕刻、或使用由CF 4等化合物氣體生成之電漿之乾式蝕刻。
例如於專利文獻1中記載有如下乾式蝕刻方法,其為了於SiO 2、矽化金屬或矽之存在下將SiN選擇性地電漿蝕刻,而使用包含式:CH xF 4-x(x表示2或3)所表示之化合物之氣體SiN膜及氧氣等的蝕刻氣體。於專利文獻1中記載有自SiO 2膜之開口部選擇性地蝕刻SiN膜,並將其下之p-Si膜用作蝕刻停止層。
然而,於使用熱磷酸之濕式蝕刻、或使用電漿之乾式蝕刻中,不僅SiN被蝕刻而且SiO 2亦被蝕刻,故而有難以確保SiN相對於SiO 2之選擇比之問題點。
因此,於專利文獻2中記載有藉由在無電漿之加熱環境下流通HF氣體而將形成於SiO 2膜上之SiN膜蝕刻的方法。
又,於專利文獻3中,作為改善專利文獻2所記載之方法中成為課題的形成於SiO 2膜上之SiN膜之蝕刻速率低的方法,記載有於HF中添加F 2氣體之方法。
然而,若如專利文獻2般利用HF氣體蝕刻SiN膜,則有SiO 2膜亦被HF及作為反應產物之NH 3蝕刻之情況,而有無法提高SiN/SiO 2之選擇比之問題。又,若如專利文獻3般添加F 2氣體,則有p-Si被F 2等蝕刻而無法取得較高之SiN/Si選擇比之問題。
因此,於專利文獻4中記載有利用HF+NO之混合氣體相對於SiO 2膜及/或p-Si膜而以高選擇比蝕刻SiN膜之方法,且記載有藉由添加NO氣體作為蝕刻氣體(輔助用氣體),可抑制SiO 2膜之損傷。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開平8-59215號公報 [專利文獻2]日本專利特開2008-187105號公報(日本專利第4833878號公報) [專利文獻3]日本專利特開2010-182730號公報(日本專利第5210191號公報) [專利文獻4]日本專利特開2014-197603號公報(日本專利第6073172號公報)
[發明所欲解決之問題]
然而,專利文獻4中即便可獲得提高SiN之選擇比之效果,但因SiN之蝕刻而副產生NH 3,故而無法充分抑制對SiO 2之損傷。於近年來之微細化之進展中,由此種損傷導致之稍許之表面粗糙亦逐漸成為無法忽視之問題,而謀求其改善。
再者,為了抑制對SiO 2之損傷,想到於HF+NO等蝕刻氣體中添加N 2或Ar、He等惰性氣體而進行稀釋之方法。然而,該方法中,原本作為目標之SiN之蝕刻速率大幅度地降低成為新問題。因此,為了彌補該較低之蝕刻速率,亦想到延長蝕刻步驟之處理時間而確保蝕刻量之方法,但SiO 2暴露於蝕刻氣體下之時間變長,結果導致SiO 2表面之損傷之進行,該添加稀釋氣體之方法未達成本課題之解決。
本發明之目的在於提供一種能以較高之蝕刻速率蝕刻SiN,於在矽基板上形成半導體元件之情形時,對SiO 2或p-Si具有較高之選擇比,進而亦可抑制對SiO 2之損傷之乾式蝕刻方法。 [解決問題之技術手段]
本發明者等人進行了潛心研究,結果發現,含氟羧酸具有可捕獲因HF對SiN之蝕刻而副產生之NH 3,不蝕刻SiO 2及p-Si,且不妨礙HF對SiN之蝕刻之特性,故而藉由利用在HF中混合有該含氟羧酸之氣體對SiN進行蝕刻,可解決上述課題,以至完成了本發明。
具體而言,本發明提供一種乾式蝕刻方法,其特徵在於:使包含氟化氫與含氟羧酸之混合氣體於小於100℃且無電漿地與氮化矽接觸,蝕刻上述氮化矽。 [發明之效果]
藉由本發明,可提供一種能以較高之蝕刻速率蝕刻SiN,於在矽基板上形成半導體元件之情形時,對SiO 2及p-Si具有較高之選擇比,進而亦可抑制對SiO 2之損傷之乾式蝕刻方法。
以下,對本發明加以詳細說明,但以下記載之構成要件之說明為本發明之實施形態之一例,不限定於該等之具體內容。可於其主旨之範圍內加以各種變形而實施。
於本發明之乾式蝕刻方法中,使用包含氟化氫及含氟羧酸之混合氣體作為乾式蝕刻氣體組合物,使該乾式蝕刻氣體組合物於小於100℃且無電漿地與氮化矽接觸,蝕刻氮化矽。
混合氣體中之含氟羧酸之添加量較佳為上述氟化氫與上述含氟羧酸之合計量之0.01體積%以上。 添加量之上限係根據各化合物之蒸氣壓及製程壓力而自然地決定。即,於製程壓力低於含氟羧酸之蒸氣壓之情形時,含氟羧酸之添加量變多而HF之濃度降低,故而HF不足而無法充分取得SiN之蝕刻速率。因此,添加量最大亦較佳為HF與含氟羧酸之濃度比(HF/含氟羧酸)成為1以上。 即,混合氣體中之含氟羧酸之添加量較佳為氟化氫與上述含氟羧酸之合計量之0.01體積%以上且50體積%以下,更佳為0.1體積%以上且30體積%以下,進而佳為3體積%以上且15體積%以下。
作為本發明之方法中可使用之含氟羧酸,可列舉:單氟乙酸(CH 2FCOOH)、二氟乙酸(CHF 2COOH)、三氟乙酸(CF 3COOH)、二氟丙酸(CH 3CF 2COOH)、五氟丙酸(C 2F 5COOH)、七氟丁酸(C 3F 7COOH)等。該等氣體於為了優先捕獲NH 3而酸解離常數pKa為HF之3.2以下之方面,或於20~100℃之溫度範圍具有一定之蒸氣壓而於該溫度範圍內不分解,故而可作為氣體而供給之方面而言較佳。含氟羧酸可藉由加熱、減壓、吹泡而氣化並供給。
又,該些含氟羧酸無需為無水物,但水分量較佳為小於1質量%。其原因在於:若水分量多,則於經氣化之情形時產生H 2O,而有產生由HF+H 2O所致之SiO 2蝕刻之可能性。
又,亦可於混合氣體中包含不與HF或含氟羧酸反應之惰性氣體作為稀釋氣體,亦可藉由惰性氣體之添加量而調整SiN之蝕刻速率。作為惰性氣體,可列舉N 2、He、Ne、Ar、Kr等,可於混合氣體中以0體積%以上且90體積%以下之範圍而使用。
作為使氮化矽與乾式蝕刻氣體組合物接觸之製程溫度,較佳為20℃以上且小於100℃,更佳為40℃以上且80℃以下,進而佳為50℃以上且75℃以下。
作為製程壓力,壓力範圍較佳為0.1 kPa以上且101.3 kPa以下,更佳為1 kPa以上且50 kPa以下。
再者,作為本發明之蝕刻對象即氮化矽,係指Si 3N 4等SiN x(x超過0且為2以下)所表示之化合物。
於使本發明之乾式蝕刻氣體組合物與氮化矽、氧化矽及多晶矽接觸之情形時,較佳為SiN與SiO 2之蝕刻選擇比(SiN/SiO 2)、及SiN與p-Si之蝕刻選擇比(SiN/Si)均為100以上。又,較佳為對SiN具有100 nm/min以上之較高蝕刻速率。
藉由本發明之蝕刻方法,可不對SiO 2或p-Si造成損傷而高速且高選擇性地蝕刻SiN。進而,本發明之蝕刻方法可利用低於100℃之低溫且無電漿之製程而實施。
又,因SiN之蝕刻而副產生之NH 3亦發生與HF反應而生成NH 4F之副反應,故而成為使SiN表面之HF濃度降低,導致蝕刻速度降低之要因。然而,本發明之蝕刻方法中,藉由混合含氟羧酸,可期待防止該副反應而防止蝕刻速度降低之效果。
進而,於HF中含有微量之水分,或存在吸附於SiO 2表面之水之情形時,有因該微量水分及HF而進行SiO 2蝕刻之情況。然而,本發明之蝕刻方法中,藉由添加含氟羧酸,可利用含氟羧酸將該微量水分去除,亦可期待進一步防止SiO 2之蝕刻之效果。
於在矽基板上形成半導體元件之情形時,可於自SiN鄰接於SiO 2及/或p-Si之結構、或SiO 2及/或p-Si與SiN露出之結構而僅選擇性地乾式蝕刻SiN之步驟中,應用本發明之乾式蝕刻方法。作為此種結構,有SiN膜覆蓋SiO 2膜及/或p-Si膜之結構、或SiO 2膜與SiN膜與p-Si膜積層之結構等。例如,可於三維記憶體之製造製程中,於矽基板上形成SiO 2與SiN之積層膜,於該積層膜中形成貫通孔,自貫通孔供給蝕刻氣體而應用本發明之乾式蝕刻方法,一面殘留SiO 2一面選擇性地蝕刻SiN,由此形成多數個SiO 2層具有間隙並且平行地排列之結構。 [實施例]
以下,將本發明之實施例與比較例一併列舉,但本發明不限制於以下之實施例。
圖1為實施例、比較例中使用之反應裝置1之概略圖,於腔室2內設置有具有作為加熱器之功能之平台3。又,於腔室2之周圍亦設有加熱器,可將腔室壁加熱。對腔室2自未圖示之氣體供給部供給乾式蝕刻氣體組合物。可自設置於腔室上部之氣體導入口5導入乾式蝕刻劑,使乾式蝕刻劑與設置於平台3上之試樣4接觸。腔室2內之氣體係經由氣體排出線6而排出。又,於氣體排出線連接有未圖示之真空排氣泵(真空排氣部),腔室2內可設為減壓環境,於腔室2設置有壓力計7。
[實施例1] 作為試樣4,將具有p-Si膜之矽晶圓A、具有SiO 2膜之矽晶圓B、具有SiN膜之矽晶圓C設置於反應裝置1之平台3上。SiN膜及p-Si膜係分別藉由CVD法而製作。又,SiO 2膜係將矽晶圓之表面熱氧化而製作。平台3之溫度為70℃。於其中,使HF與CF 3COOH之混合氣體(HF為99.9體積%,CF 3COOH為0.1體積%)以總流量1000 sccm流通。腔室2內壓力設為10 kPa而進行蝕刻。
蝕刻後,根據矽晶圓A之p-Si膜、矽晶圓B之SiO 2膜、矽晶圓C之SiN膜的蝕刻前後之厚度變化而求出蝕刻速度。進而,求出SiN與p-Si之蝕刻速度之比SiN/p-Si、及SiN與SiO 2之蝕刻速度之比SiN/SiO 2
又,利用原子力顯微鏡(AFM)測定SiO 2膜之表面粗糙度Ra並進行評價。此處,所謂Ra,為JIS B 0601:1994所規定之算術平均粗糙度。
[實施例2~5、比較例1~3] 除了變更添加氣體之種類及濃度以外,與實施例1同樣地進行蝕刻及評價。
將實施例1~5及比較例1~3之蝕刻條件及評價結果示於表1。 [表1]
   製程壓力 製程溫度 添加氣體 添加濃度 [體積%] HF濃度 [體積%] SiN蝕刻速度 [nm/min] SiN/p-Si SiN/SiO 2 SiO 2膜表面Ra [μm]
實施例1 10 kPa 70℃ CF 3COOH 0.1 99.9 839 >1000 156 <1
實施例2 10 kPa 70℃ CF 3COOH 1 99 794 >1000 181 <1
實施例3 10 kPa 70℃ CF 3COOH 5 95 729 >1000 281 <1
實施例4 10 kPa 70℃ CF 3COOH 10 90 554 >1000 241 <1
實施例5 10 kPa 70℃ C 2F 5COOH 5 95 712 >1000 264 <1
比較例1 10 kPa 70℃ 0 100 845 >1000 82 2.2
比較例2 10 kPa 70℃ F 2 1 99 1004 2 1674 <1
比較例3 10 kPa 70℃ NO 10 90 699 >1000 233 3.6
實施例1~5中,與p-Si及SiO 2相比可選擇性地蝕刻SiN,由於幾乎未將SiO 2膜之表面削去,故而SiO 2膜之表面Ra成為1 μm以下,非常光滑。
另一方面,比較例1與專利文獻2同樣,僅利用HF蝕刻SiN,SiO 2亦被削去,SiN/SiO 2比較差。比較例2與專利文獻3同樣,於HF中添加F 2而蝕刻SiN,p-Si被F 2蝕刻,SiN/Si比較差。比較例3與專利文獻4同樣,於HF添加NO而蝕刻SiN,存在對SiO 2膜之損傷,蝕刻後之SiO 2膜之表面粗糙。
1:反應裝置 2:腔室 3:平台 4:試樣 5:氣體導入口 6:氣體排出線 7:壓力計
圖1為實施例、比較例中使用之反應裝置1之概略圖。
1:反應裝置
2:腔室
3:平台
4:試樣
5:氣體導入口
6:氣體排出線
7:壓力計

Claims (10)

  1. 一種乾式蝕刻方法,其使包含氟化氫及含氟羧酸之混合氣體於小於100℃且無電漿地與具有氮化矽與氧化矽之結構接觸而選擇性地蝕刻上述氮化矽,上述氮化矽與上述氧化矽之蝕刻選擇比(SiN/SiO2)為100以上。
  2. 如請求項1之乾式蝕刻方法,其中上述含氟羧酸之量為上述氟化氫與上述含氟羧酸之合計量之0.01體積%以上。
  3. 如請求項2之乾式蝕刻方法,其中上述含氟羧酸之量為上述氟化氫與上述含氟羧酸之合計量之0.1體積%以上且30體積%以下。
  4. 如請求項3之乾式蝕刻方法,其中上述含氟羧酸之量為上述氟化氫與上述含氟羧酸之合計量之0.1體積%以上且10體積%以下。
  5. 如請求項1之乾式蝕刻方法,其中上述含氟羧酸為選自由單氟乙酸、二氟乙酸、三氟乙酸、二氟丙酸、五氟丙酸及七氟丁酸所組成之群中之至少一種。
  6. 如請求項1之乾式蝕刻方法,其中上述混合氣體不僅與上述氮化矽及上述氧化矽接觸,而且亦與多晶矽接觸,上述氮化矽與上述多晶矽之蝕刻選擇比(SiN/Si)為100以上。
  7. 如請求項1之乾式蝕刻方法,其中上述含氟羧酸為三氟乙酸或五氟丙酸,且上述含氟羧酸之量為上述氟化氫與上述含氟羧酸之合計量之0.1體積%以上且30體積%以下。
  8. 如請求項1之乾式蝕刻方法,其中上述混合氣體僅由上述氟化氫及上述含氟羧酸組成。
  9. 如請求項1之乾式蝕刻方法,其中上述氮化矽之蝕刻速率為100nm/min以上。
  10. 一種半導體元件之製造方法,其特徵在於包含如下步驟:針對具有氧化矽膜、多晶矽膜及氮化矽膜之矽基板,應用如請求項1至7中任一項之乾式蝕刻方法,選擇性地蝕刻氮化矽膜。
TW111114223A 2017-11-14 2018-11-05 乾式蝕刻方法 TWI824482B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017218692 2017-11-14
JP2017-218692 2017-11-14

Publications (2)

Publication Number Publication Date
TW202229515A TW202229515A (zh) 2022-08-01
TWI824482B true TWI824482B (zh) 2023-12-01

Family

ID=66539502

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107139099A TWI765114B (zh) 2017-11-14 2018-11-05 乾式蝕刻方法
TW111114223A TWI824482B (zh) 2017-11-14 2018-11-05 乾式蝕刻方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107139099A TWI765114B (zh) 2017-11-14 2018-11-05 乾式蝕刻方法

Country Status (6)

Country Link
US (2) US11289340B2 (zh)
JP (2) JP7177344B2 (zh)
KR (1) KR102419013B1 (zh)
CN (1) CN111279460B (zh)
TW (2) TWI765114B (zh)
WO (1) WO2019097964A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7372445B2 (ja) * 2021-02-19 2023-10-31 株式会社日立ハイテク エッチング方法およびエッチング装置
WO2023168170A1 (en) * 2022-03-03 2023-09-07 Lam Research Corporation Selective precision etching of semiconductor materials

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW387018B (en) * 1996-05-13 2000-04-11 Air Prod & Chem Plasma etch with trifluoroacetic acid and derivatives
US20050189575A1 (en) * 2004-02-27 2005-09-01 Kevin Torek Semiconductor fabrication that includes surface tension control

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163476A (ja) * 1992-11-18 1994-06-10 Sony Corp ドライエッチング方法
WO1994027315A1 (en) * 1993-05-13 1994-11-24 Interuniversitair Microelektronica Centrum Method for semiconductor processing using mixtures of hf and carboxylic acid
US5922624A (en) 1993-05-13 1999-07-13 Imec Vzw Method for semiconductor processing using mixtures of HF and carboxylic acid
KR950033669A (ko) 1994-01-27 1995-12-26 제임스 조셉 드롱 산화물, 규화물 및 실리콘에 대하여 선택성을 갖는 질화물 식각공정
IL119598A0 (en) * 1995-11-17 1997-02-18 Air Prod & Chem Plasma etch with trifluoroacetic acid or its derivatives
US6140203A (en) * 1997-10-31 2000-10-31 Micron Technology, Inc. Capacitor constructions and semiconductor processing method of forming capacitor constructions
JPH11238725A (ja) * 1998-02-24 1999-08-31 Daikin Ind Ltd エッチング組成物
US6159859A (en) 1998-06-09 2000-12-12 Air Products And Chemicals, Inc. Gas phase removal of SiO2 /metals from silicon
US6221680B1 (en) * 1998-07-31 2001-04-24 International Business Machines Corporation Patterned recess formation using acid diffusion
JP4112198B2 (ja) 2000-09-11 2008-07-02 財団法人地球環境産業技術研究機構 クリーニングガス及びエッチングガス、並びにチャンバークリーニング方法及びエッチング方法
KR100649418B1 (ko) * 2002-08-22 2006-11-27 다이킨 고교 가부시키가이샤 박리액
US20070207622A1 (en) * 2006-02-23 2007-09-06 Micron Technology, Inc. Highly selective doped oxide etchant
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
JP4833878B2 (ja) 2007-01-31 2011-12-07 東京エレクトロン株式会社 基板の処理方法及び基板処理装置
JP2009043973A (ja) * 2007-08-09 2009-02-26 Tokyo Electron Ltd 半導体装置の製造方法、半導体基板の処理装置及び記憶媒体
JP5101256B2 (ja) * 2007-11-20 2012-12-19 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法、コンピュータ可読記録媒体
JP5210191B2 (ja) 2009-02-03 2013-06-12 東京エレクトロン株式会社 窒化珪素膜のドライエッチング方法
JP5655296B2 (ja) * 2009-12-01 2015-01-21 セントラル硝子株式会社 エッチングガス
JP6073172B2 (ja) 2013-03-29 2017-02-01 岩谷産業株式会社 エッチング方法
JP6885942B2 (ja) * 2015-11-23 2021-06-16 インテグリス・インコーポレーテッド 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW387018B (en) * 1996-05-13 2000-04-11 Air Prod & Chem Plasma etch with trifluoroacetic acid and derivatives
US20050189575A1 (en) * 2004-02-27 2005-09-01 Kevin Torek Semiconductor fabrication that includes surface tension control

Also Published As

Publication number Publication date
JP7177344B2 (ja) 2022-11-24
JP7332961B2 (ja) 2023-08-24
JP2019091890A (ja) 2019-06-13
US11289340B2 (en) 2022-03-29
CN111279460A (zh) 2020-06-12
WO2019097964A1 (ja) 2019-05-23
JP2023001302A (ja) 2023-01-04
US20220172956A1 (en) 2022-06-02
KR102419013B1 (ko) 2022-07-08
TWI765114B (zh) 2022-05-21
US20200365411A1 (en) 2020-11-19
KR20200070382A (ko) 2020-06-17
TW201923039A (zh) 2019-06-16
TW202229515A (zh) 2022-08-01
CN111279460B (zh) 2023-07-18

Similar Documents

Publication Publication Date Title
JP7332961B2 (ja) ドライエッチング方法
US10062602B2 (en) Method of etching a porous dielectric material
TWI525658B (zh) 設計製造用於微影蝕刻遮罩應用的富硼薄膜之方法
US8106485B2 (en) Chemical oxide removal of plasma damaged SiCOH low k dielectrics
TWI541898B (zh) 用於半導體整合之非敏性乾式移除製程
US10640706B2 (en) Etching compositions and method of etching by using the same
US7807219B2 (en) Repairing and restoring strength of etch-damaged low-k dielectric materials
US10995268B2 (en) Etching composition effective to selectively wet etch a silicon nitride film
TW202205425A (zh) 矽氧化物之蝕刻方法及蝕刻裝置
KR100685735B1 (ko) 폴리실리콘 제거용 조성물, 이를 이용한 폴리실리콘 제거방법 및 반도체 장치의 제조 방법
KR102484988B1 (ko) 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
US6645876B2 (en) Etching for manufacture of semiconductor devices
Kim et al. Investigation of oxide layer removal mechanism using reactive gases
JP2010109064A (ja) エッチング方法
KR101403746B1 (ko) 질화막 식각액 조성물 및 이를 이용하는 질화막의 식각방법
US7538037B2 (en) Method for manufacturing semiconductor device
JPH09148301A (ja) 半導体装置の製造方法とエッチング液
TW202412103A (zh) 蝕刻方法、半導體元件之製造方法、蝕刻裝置及蝕刻氣體
Kim et al. Characterization of Ammonium Silicate Residue during Polysilazane (PSZ) Dry Etching in NF3/H2O Gas Chemistry
Shamiryan et al. Influence of the top chamber window temperature on the STI etch process
TW202246464A (zh) 微細加工處理劑,及微細加工處理方法
TW202020936A (zh) 採用蝕刻後圖案包覆的方法
KR20180109429A (ko) 질화막 식각 조성물 및 이를 이용한 패턴 형성 방법
CN103794485A (zh) 多晶硅结构的形成方法