TWI809500B - 半導體裝置及形成半導體裝置的方法 - Google Patents

半導體裝置及形成半導體裝置的方法 Download PDF

Info

Publication number
TWI809500B
TWI809500B TW110134073A TW110134073A TWI809500B TW I809500 B TWI809500 B TW I809500B TW 110134073 A TW110134073 A TW 110134073A TW 110134073 A TW110134073 A TW 110134073A TW I809500 B TWI809500 B TW I809500B
Authority
TW
Taiwan
Prior art keywords
nanosheet
work function
gate
fin
layer
Prior art date
Application number
TW110134073A
Other languages
English (en)
Other versions
TW202240908A (zh
Inventor
李欣怡
張文
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202240908A publication Critical patent/TW202240908A/zh
Application granted granted Critical
Publication of TWI809500B publication Critical patent/TWI809500B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)

Abstract

半導體裝置包括:凸出於基板上方的鰭片;鰭片上方的複數個源極/汲極區域;複數個源極/汲極區域之間的複數個奈米片;及鰭片上方及複數個源極/汲極區域之間的閘極結構,該閘極結構包括:複數個奈米片中之每一者周圍的閘極介電材料;閘極介電材料周圍的功函數材料;功函數材料周圍的襯墊材料,其中襯墊材料具有非均勻厚度,且複數個在奈米片之間的第一位置處比沿奈米片側壁的第二位置處厚;及在襯墊材料的至少部分周圍的閘電極材料。

Description

半導體裝置及形成半導體裝置的方法
本揭示的實施方式是關於半導體裝置及形成半導體裝置的方法。
半導體裝置用於各種電子應用,例如個人電腦、行動電話、數位相機及其他電子設備。通常藉由在半導體基板上方沉積絕緣或介電材料層、導電材料層及半導體材料層且使用微影術圖案化各個材料層以在其上形成電路組件及元件來製造半導體裝置。
半導體產業繼續藉由連續減小最小特徵尺寸來提高各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度,連續減小最小特徵尺寸允許將更多組件整合於給定區域中。然而,隨著最小特徵尺寸減小,應解決出現的額外問題。
本揭示的一實施方式提供一種半導體裝置,包含凸 出於基板上方的鰭片;在鰭片上方的複數個源極/汲極區域;在複數個源極/汲極區域之間的複數個奈米片;及在鰭片上方及複數個源極/汲極區域之間的閘極結構。閘極結構包含:在複數個奈米片中之每一者周圍的閘極介電材料;在閘極介電材料周圍的功函數材料;在功函數材料周圍的襯墊材料;及在襯墊材料的至少部分周圍的閘電極材料。襯墊材料具有非均勻厚度,在複數個奈米片之間的第一位置比沿複數個奈米片之複數個側壁的第二位置厚。
本揭示的一實施方式提供一種半導體裝置,包含凸出於基板上方的鰭片;在鰭片上方的閘極結構;在閘極結構的相對側上的鰭片上方的複數個源極/汲極區域;及安置於複數個源極/汲極區域之間及鰭片上方的第一通道層及第二通道層,其中第一通道層在第二通道層與鰭片之間閘極結構包含:在第一通道層周圍及第二通道層周圍的閘極介電材料;在閘極介電材料周圍的功函數材料;在功函數材料周圍的襯墊材料,其中襯墊材料在鰭片遠端的第二通道層的上表面上方具有第一厚度,在第一通道層與第二通道層之間具有第二厚度,其中第一厚度小於第二厚度;及閘電極。
本揭示的一實施方式提供一種形成半導體裝置的方法,方法包含:形成凸出於一基板上方的鰭片;在鰭片上方形成複數個源極/汲極區域;在鰭片上方及複數個源極/汲極區域之間形成第一奈米片及第二奈米片,第一奈米片安置於鰭片與第二奈米片之間;在第一奈米片及第二奈米 片周圍形成閘極介電材料;在閘極介電材料周圍形成功函數材料,其中功函數材料的第一部分沿第一奈米片的背離基板的第一表面延伸,功函數材料的一第二部分沿第二奈米片的面向基板的一第二表面延伸;在功函數材料周圍形成襯墊材料,其中襯墊材料填充功函數材料的第一部分與第二部分之間的縫隙;及在第一奈米片及第二奈米片上方形成閘極材料。
50:基板
52:第一半導體材料/奈米片
52A:奈米片
52B:奈米片
52C:奈米片
54:第二半導體材料/奈米片
54A:奈米片
54B:奈米片
54C:奈米片
55:內隔片
56:區域
64:多層堆疊
90:半導體鰭片
91:鰭片結構
92:奈米結構
93:通道區域
94:遮罩
94A:第一遮罩層
94B:第二遮罩層
96:淺溝槽隔離區域
97:虛設介電層
100:奈米片場效電晶體裝置
103:凹部
104:遮罩
104A:第一遮罩層
104B:第二遮罩層
108:閘極隔片層
110:開口
112:源極/汲極區域
114:第一層間介電質
116:接觸蝕刻停止層
120:閘極層堆疊
121:介面介電材料
122:閘電極
123:閘極介電材料
125:功函數材料
126:閘極層堆疊
127:覆蓋層
129:襯墊材料
130A:區域
130B:區域
131A:區域
200:奈米片場效電晶體裝置
210:n型裝置區域
220:p型裝置區域
1010:方塊
1020:方塊
1030:方塊
1040:方塊
1050:方塊
1060:方塊
1070:方塊
A:橫截面
B:橫截面
C:橫截面
D:橫截面
E:橫截面
F:橫截面
T1:厚度
T2:厚度
T3:厚度
W1:第一寬度
W2:第二寬度
當結合附圖閱讀時,自以下詳細描述最佳地理解本揭示實施方式的態樣。應注意,根據工業中的標準實務,各個特徵未按比例繪製。事實上,出於討論清晰的目的,可任意增加或減少各個特徵的尺寸。
第1圖以三維視圖示出根據一些實施例的奈米片場效電晶體(NSFET)裝置的實例。
第2圖、第3A圖、第3B圖、第4A圖、第4B圖、第5A圖至第5C圖、第6A圖至第6C圖、第7A圖至第7C圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第11圖至第14圖、第15A圖及第15B圖是根據實施例的在各個製造階段的奈米片場效電晶體裝置的橫截面圖。
第16圖、第17圖、第18A圖及第18B圖是根據另一實施例的在各個製造階段的奈米片場效電晶體裝置的橫截面圖。
第19圖是一些實施例中的半導體裝置的形成方法的流程圖。
以下揭示案提供用於實現本揭示實施方式不同特徵的許多不同的實施例或實例。為簡化本揭示的實施方式,下文描述部件和佈置的特定實例。當然,此等僅為實例,不意欲具有限制性。舉例而言,在下文的描述中,在第二特徵上方或其上形成第一特徵可包括第一及第二特徵直接相接觸而形成的實施例,亦可包括第一及第二特徵之間形成額外特徵而使得第一及第二特徵並非直接相接觸的實施例。
另外,為便於描述,本文可使用諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者的空間相對性術語,以表述如圖中所示的一元件或特徵與另一或另一些元件或特徵的關係。除圖中所描繪的定向外,空間相對性術語意欲涵蓋使用中或運行中元件的不同定向。設備可按其他方式經定向(旋轉90度或其他定向),因此可同樣地解讀本文中使用的空間相對性描述詞。在本文的描述中,除非另外說明,否則不同圖中的同一元件符號指使用同一或相似材料藉由同一或相似形成方法形成的同一或相似組件。另外,具有同一元件符號但不同字母的圖(例如第5A圖、第5B圖及第5C圖)示出同一處理階段中的半導體裝置的不同視圖。
根據一些實施例,在奈米片裝置的功函數材料周圍形成襯墊材料。襯墊材料包繞每一奈米片周圍的功函數材料,阻止鄰近奈米片之間的功函數材料合併且形成比其他位置處的功函數材料更厚的功函數材料。由於具有非均勻厚度的功函數材料可導致所形成的裝置的臨界電壓VTH變化,因此所揭示的方法避免或減少由功函數材料的非均勻厚度導致的臨界電壓變化,由此提高所形成裝置的效能。另外,襯墊材料阻止或減少鋁自功函數材料擴散至其他層。
第1圖以三維視圖示出根據一些實施例的奈米片場效電晶體(NSFET)的實例。NSFET裝置包含凸出於基板50上方的半導體鰭片90(亦稱為鰭片)。閘電極122(例如金屬閘極)安置於鰭片上方,源極/汲極區域112形成於閘電極122的相對側上。複數個奈米片54形成於鰭片90上方及源極/汲極區域112之間。隔離區域96形成於鰭片90的相對側上。閘極層堆疊120(可包括(例如閘極介電材料)功函數材料)形成於奈米片54周圍。閘電極122在閘極層堆疊120上方及周圍。
第1圖進一步示出在以下圖式中使用的參考橫截面。橫截面A-A沿閘電極122的縱軸,且在垂直於NSFET裝置之源極/汲極區域112之電流方向的一方向上。橫截面B-B垂直於橫截面A-A,沿鰭片的縱軸,在NSFET裝置的源極/汲極區域112之間的電流方向上。橫截面C-C平行於橫截面B-B,且在兩個相鄰鰭片之間。橫截面D-D平 行於橫截面A-A,延伸通過NSFET裝置的源極/汲極區域112。為了清楚,後續的圖式指此等參考橫截面。
第2圖、第3A圖、第3B圖、第4A圖、第4B圖、第5A圖至第5C圖、第6A圖至第6C圖、第7A圖至第7C圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第11圖至第14圖、第15A圖及第15B圖是根據實施例的在各個製造階段的奈米片場效電晶體(NSFET)裝置100的橫截面圖。
在第2圖中,提供基板50。基板50可為半導體基板,例如體半導體、絕緣體上半導體(SOI)基板或類似者,其可為摻雜的(例如摻雜有p型或n型摻雜劑)或無摻雜的。基板50可為晶圓,例如矽晶圓。大體而言,SOI基板為形成於絕緣體層上的半導體材料層。絕緣體層可為例如埋入式氧化物(BOX)層、氧化矽層或類似者。在基板上提供絕緣體層,其通常為矽基板或玻璃基板。亦可使用其他基板,例如多層或梯度基板。在一些實施例中,基板50的半導體材料包括矽,鍺,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP的合金半導體,或其組合。
在基板50上形成多層堆疊64。多層堆疊64包括第一半導體材料52及第二半導體材料54的交替層。在第2圖中,將由第一半導體材料52形成的層標為52A、52B及52C,將由第二半導體材料54形成的層標為54A、54B 及54C。第2圖中示出的由第一及第二半導體材料形成的層的數量僅為非限制性實例。可能使用其他數量的層,且本揭示的實施方式的範疇完全意欲包括其他數量的層。
在一些實施例中,第一半導體材料52為適於形成例如p型FET的通道區域的磊晶材料,例如矽鍺(SixGe1-x,其中x可在0至1的範圍中),第二半導體材料54為適於形成例如n型FET的通道區域的磊晶材料,例如矽。在後續處理中,將圖案化多層堆疊64(亦可稱為磊晶材料堆疊)以形成NSFET的通道區域。特定而言,將圖案化多層堆疊64以形成水平的奈米片,所得的NSFET的通道區域包括多個水平的奈米片。
可藉由磊晶生長製程形成多層堆疊64,可在生長腔室中執行此磊晶生長製程。在實施例中,在磊晶生長期間,將生長腔室循環曝露於第一組前驅物,以選擇性生長第一半導體材料52,在一些實施例中,隨後將生長腔室曝露於第二組前驅物,以選擇性生長第二半導體材料54。第一組前驅物包括用於第一半導體材料(例如矽鍺)的前驅物,第二組前驅物包括用於第二半導體材料(例如矽)的前驅物。在一些實施例中,第一組前驅物包括矽前驅物(例如矽烷)及鍺前驅物(例如鍺烷),第二組前驅物包括矽前驅物,但省略鍺前驅物。磊晶生長製程由此可包括連續使矽前驅物流至生長腔室,且隨後循環(1)當生長第一半導體材料52時使鍺前驅物流至生長腔室;及(2)當生長第二半導體材料54時禁止鍺前驅物流至生長腔室。可重複 循環曝露,直至形成目標數量的層。
第3A圖、第3B圖、第4A圖、第4B圖、第5A圖至第5C圖、第6A圖至第6C圖、第7A圖至第7C圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第11圖至第14圖、第15A圖及第15B圖是根據實施例的在製造後續階段的NSFET裝置100的橫截面圖。第3A圖、第4A圖、第5A圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖及第15A圖是沿第1圖中橫截面B-B的橫截面圖。第3B圖、第4B圖、第5C圖、第6C圖、第7C圖、第8B圖、第9B圖、第10B圖及第15B圖是沿第1圖中橫截面A-A的橫截面圖。第5B圖、第6B圖及第7B圖是沿第1圖中橫截面D-D的橫截面圖。第11圖至第14圖是NSFET裝置的一部分沿第1圖中橫截面A-A的橫截面圖。雖然在圖式中將兩個鰭片及兩個閘極結構圖示為非限制性實例,但應瞭解,亦可形成其他數量的鰭片及其他數量的閘極結構。
在第3A圖及第3B圖中,形成的鰭片結構91凸出於基板50上方。鰭片結構91中之每一者包括半導體鰭片90及上覆於半導體鰭片90的奈米結構92。可藉由分別在多層堆疊64及基板50中蝕刻溝槽來形成奈米結構92及半導體鰭片90。
可藉由任何合適的方法圖案化鰭片結構91。舉例而言,可使用一或多個微影製程(包括雙重圖案化或多重圖案化製程)來圖案化鰭片結構91。大體而言,雙重圖案 化或多重圖案化製程結合微影製程及自對準製程,使得所產生的圖案具有(舉例而言)與使用單一直接微影製程得到的圖案相比更小的間距。舉例而言,在一實施例中,在基板上方形成犧牲層,且使用微影製程來圖案化該犧牲層。使用自對準製程在經圖案化的犧牲層旁形成隔片。隨後移除犧牲層,而後可使用剩餘的間隔層來圖案化例如鰭片結構91。
在一些實施例中,使用剩餘的隔片圖案化遮罩94,隨後使用遮罩94圖案化鰭片結構91。遮罩94可為單層遮罩,或可為多層遮罩,例如包括第一遮罩層94A及第二遮罩層94B的多層遮罩。第一遮罩層94A及第二遮罩層94B可各自由諸如氧化矽、氮化矽、其組合或類似者的介電材料形成,且可根據合適的技術經沉積或熱生長。第一遮罩層94A及第二遮罩層94B是具有高蝕刻選擇性的不同材料。舉例而言,第一遮罩層94A可為氧化矽,第二遮罩層94B可為氮化矽。可使用任何可接受的蝕刻製程藉由圖案化第一遮罩層94A及第二遮罩層94B形成遮罩94。隨後可將遮罩94用作蝕刻遮罩來蝕刻基板50及多層堆疊64。蝕刻可為任何可接受的蝕刻製程,例如反應離子蝕刻(RIE)、中性束蝕刻(NBE)、類似者或其組合。在一些實施例中,蝕刻是各向異性蝕刻製程。如第3A圖及第3B圖所示,在蝕刻製程之後,圖案化的多層堆疊64形成奈米結構92,圖案化的基板50形成半導體鰭片90。因此,在所示出的實施例中,奈米結構92亦包括第一半導體材料52 及第二半導體材料54的交替層,半導體鰭片90由與基板50相同的材料(例如矽)形成。
下一步,在第4A圖及第4B圖中,淺溝槽隔離(STI)區域96形成於基板50上方及鰭片結構91的相對側上。作為形成STI區域96的實例,絕緣材料可形成於基板50上方。絕緣材料可為氧化物,例如氧化矽、氮化物、類似者或其組合,可藉由高密度電漿化學氣相沉積(HDP-CVD)、流動CVD(FCVD)(例如遠程電漿系統中的基於CVD的材料沉積及後固化,使其轉換為另一材料,例如氧化物)、類似者或其組合而形成。可使用藉由任何可接受製程形成的其他絕緣材料。在所示出的實施例中,絕緣材料為藉由FCVD製程形成的氧化矽。在形成絕緣材料之後,可執行退火製程。
在實施例中,形成絕緣材料,使得過量絕緣材料覆蓋鰭片結構91。在一些實施例中,首先沿基板50的表面形成襯墊,在襯墊上方形成鰭片結構91及諸如上文所討論的那些填充材料。在一些實施例中,省去襯墊。
下一步,對絕緣材料應用移除製程,移除鰭片結構91上方的過量絕緣材料。在一些實施例中,可使用平面化製程,例如化學機械研磨(CMP)、回蝕刻製程、其組合或類似者。平面化製程曝露奈米結構92,使得在平面化製程完成之後,奈米結構92及絕緣材料的頂表面為齊平的。下一步,使絕緣材料凹陷以形成STI區域96。使絕緣材料凹陷,使得奈米結構92自相鄰的STI區域96之間凸出。 半導體鰭片90的頂部亦自相鄰的STI區域96之間凸出。另外,STI區域96的頂表面可具有如圖所示的平表面、凸表面、凹表面(例如凹陷)或其組合。可藉由恰當的蝕刻使STI區域96的頂表面形成為平的、凸的及/或凹的。可使用可接受的蝕刻製程使STI區域96凹陷,例如對絕緣材料的材料有選擇性的蝕刻製程(例如以比半導體鰭片90及奈米結構92的材料更快的速率蝕刻絕緣材料的材料)。舉例而言,可使用具有合適蝕刻劑(例如稀氫氟(dHF)酸)的化學氧化物移除。
仍參考第4A圖及第4B圖,虛設介電層97形成於奈米結構92上方及STI區域96上方。虛設介電層97可為例如氧化矽、氮化矽、其組合或類似者,可根據可接受的技術沉積或熱生長該虛設介電層。在實施例中,在奈米結構92上方及STI區域96的上表面上方共形地形成矽層,執行熱氧化製程將所沉積的矽層轉換為氧化層,作為虛設介電層97。
下一步,在第5A圖至第5C圖中,虛設閘極102形成於鰭片90上方及奈米結構92上方。為形成虛設閘極102,虛設閘極層可形成於虛設介電層97上方。可在虛設介電層97上方沉積虛設閘極層,隨後例如藉由CMP平面化該虛設閘極層。虛設閘極層可為導電材料,可選自包括非晶矽、多晶狀矽(多晶矽)、多晶狀矽鍺(多晶SiGe)或類似者的群組。可藉由物理氣相沉積(PVD)、CVD、濺射沉積或本領域已知且使用的其他技術來沉積虛設閘極層。 虛設閘極層可由對隔離區域96具有高蝕刻選擇性的其他材料製成。
隨後在虛設閘極層上方形成遮罩104。遮罩104可由氮化矽、氮氧化矽、其組合或類似者形成,可使用可接受的微影及蝕刻技術圖案化遮罩104。在所示出的實施例中,遮罩104包括第一遮罩層104A(例如氧化矽層)及第二遮罩層104B(例如氮化矽層)。隨後藉由可接受的蝕刻技術將遮罩104的圖案轉移至虛設閘極層以形成虛設閘極102,且藉由可接受的蝕刻技術將遮罩104的圖案轉移至虛設介電層以形成虛設閘極介電質97。虛設閘極102覆蓋奈米結構92的各別通道區域。可使用遮罩104的圖案將虛設閘極102中之每一者與鄰近的虛設閘極實體分隔。虛設閘極102亦可具有縱向方向,其基本上垂直於鰭片90的縱向方向。在一些實施例中,將虛設閘極102及虛設閘極介電質97統稱為虛設閘極結構。
下一步,藉由在奈米結構92、STI區域96及虛設閘極102上方共形地沉積絕緣材料來形成閘極隔片層108。絕緣材料可為氮化矽、碳氮化矽、其組合或類似者。在一些實施例中,閘極隔片層108包括多個子層。舉例而言,可藉由熱氧化或沉積來形成第一子層(有時稱為閘極密封隔片層),可在第一子層上共形地沉積第二子層(有時稱為主閘極隔片層)。
第5B圖及第5C圖示出第5A圖中的NSFET裝置100分別沿第5A圖中橫截面E-E及F-F的橫截面圖。 橫截面E-E及F-F分別對應於第1圖中的橫截面D-D及A-A。
下一步,在第6A圖至第6C圖中,藉由各向異性蝕刻製程蝕刻閘極隔片層108以形成閘極隔片108。各向異性蝕刻製程可移除閘極隔片層108的水平部分(例如STI區域96及虛設閘極102上方的部分),閘極隔片層108的剩餘的垂直部分(例如沿虛設閘極102及虛設閘極介電質97的側壁)形成閘極隔片108。
第6B圖及第6C圖示出第6A圖中的NSFET裝置100分別沿橫截面E-E及F-F的橫截面圖。在第6B圖中,將閘極隔片層108的部分示出為STI區域96的上表面上的相鄰鰭片之間。由於相鄰鰭片之間的小距離降低各向異性蝕刻製程的效率,導致上文所描述的各向異性蝕刻製程可能不完全移除安置於相鄰鰭片之間的閘極隔片層108,因此閘極隔片層108的此等部分可保留。在其他實施例中,藉由各向異性蝕刻製程完全移除相鄰鰭片之間STI區域96的上表面上安置的閘極隔片層108的部分。
在形成閘極隔片108之後,可執行對於輕微摻雜源極/汲極(LDD)區域(未展示)的植入。可在所曝露的奈米結構92及/或半導體鰭片90中植入恰當類型(例如p型或n型)的雜質。n型雜質可為任何合適的n型雜質,例如磷、砷、銻或類似者,p型雜質可為任何合適的p型雜質,例如硼、BF2、銦或類似者。輕微摻雜源極/汲極區域可具有約1015cm-3至約1016cm-3的雜質濃度。可 使用退火製程來活化所植入的雜質。
下一步,在奈米結構92中形成開口110(亦可稱為凹部)。開口110可延伸通過奈米結構92,且延伸至半導體鰭片90中。可將例如虛設閘極102用作蝕刻遮罩,藉由任何可接受的蝕刻技術形成開口110。
在形成開口110之後,在基本上不侵蝕第二半導體材料54的情況下,執行選擇性蝕刻製程,使由開口110曝露的第一半導體材料52的端部凹陷。在選擇性蝕刻製程之後,在第一半導體材料52中所移除端部曾在的位置形成凹部。
下一步,在開口110中形成(例如共形地)形成內隔片層。內隔片層亦填充藉由此前的選擇性蝕刻製程形成的第一半導體材料52中的凹部。內隔片層可為藉由諸如PVD、CVD、ALD或類似者的合適的沉積方法形成的合適的介電材料,例如碳氮化矽(SiCN)、氧碳氮化矽(SiOCN)或類似者。下一步,執行諸如各向異性蝕刻製程的蝕刻製程來移除安置於第一半導體材料52中的凹部之外的內隔片層的部分。內隔片層的剩餘部分(例如安置於第一半導體材料52中的凹部內的部分)形成內隔片55。第6B圖及第6C圖示出第6A圖中的NSFET裝置100分別沿第6A圖中橫截面E-E及F-F的橫截面圖。
下一步,在第7A圖至第7C圖中,在開口110中形成源極/汲極區域112。在所示出的實施例中,源極/汲極區域112由磊晶材料形成,因此亦可稱為磊晶源極/ 汲極區域112。在一些實施例,在開口110中形成磊晶源極/汲極區域112,在所形成的NSFET裝置的各別通道區域中施加壓力,由此提高效能。形成磊晶源極/汲極區域112,使得每一虛設閘極102安置於磊晶源極/汲極區域112的各別的相鄰對之間。在一些實施例中,使用閘極隔片108將磊晶源極/汲極區域112與虛設閘極102分隔恰當的側向距離,使得磊晶源極/汲極區域112不會使所得NSFET裝置的後續形成的閘極短路。
磊晶源極/汲極區域112在開口110中磊晶生長。磊晶源極/汲極區域112可包括對於n型或p型裝置恰當的任何可接受的材料。舉例而言,當形成n型裝置時,磊晶源極/汲極區域112可包括在通道區域中施加拉伸應變的材料,例如矽、SiC、SiCP、SiP或類似者。同樣地,當形成p型裝置時,磊晶源極/汲極區域112可包括在通道區域中施加壓縮應變的材料,例如SiGe、SiGeB、Ge、GeSn或類似者。磊晶源極/汲極區域112可具有自鰭片的各別上表面擡高的表面,且可具有刻面(facet)。
可在磊晶源極/汲極區域112及/或鰭片中植入摻雜劑,以形成源極/汲極區域,這類似於先前討論的用於形成輕微摻雜源極/汲極區域的製程,隨後進行退火。源極/汲極區域可具有在約1019cm-3與約1021cm-3之間的雜質濃度。源極/汲極區域的n型及/或p型雜質可為先前討論的雜質中之任一者。在一些實施例中,可在生長期間原位摻雜磊晶源極/汲極區域112。
作為用於形成磊晶源極/汲極區域112的磊晶製程的結果,磊晶源極/汲極區域112的上表面具有刻面,其側向向外擴展至鰭片90的側壁外。在所示出的實施例中,在完成磊晶製程之後,鄰近的磊晶源極/汲極區域112保持為分隔的(見第7B圖)。在其他實施例中,此等刻面使同一奈米FET的鄰近的磊晶源極/汲極區域112合併。
下一步,在源極/汲極區域112上方及虛設閘極102上方形成(共形地)接觸蝕刻停止層(CESL)116,隨後在接觸蝕刻停止層116上方沉積第一層間介電質(ILD)114。接觸蝕刻停止層116由與第一層間介電質114蝕刻速率不同的材料形成,可使用PECVD由氮化矽形成,但亦可替代地使用其他介電材料,例如氧化矽、氮氧化矽、其組合或類似者,亦可使用用於形成接觸蝕刻停止層116的替代技術,例如低壓CVD(LPCVD)、PVD或類似者。
第一層間介電質114可由介電材料形成,可藉由諸如CVD、電漿增強CVD(PECVD)或FCVD的任何合適的方法沉積第一層間介電質層96。用於第一層間介電質114的介電材料可包括氧化矽、矽酸磷玻璃(PSG)、矽酸硼玻璃(BSG)、硼摻雜矽酸磷玻璃(BPSG)、無摻雜矽酸鹽玻璃(USG)或類似者。可使用藉由任何可接受製程形成的其他絕緣材料。第7B圖及第7C圖示出第7A圖中的NSFET裝置100分別沿第7A圖中橫截面E-E及F-F的橫截面圖。
下一步,在第8A圖及第8B圖中,移除虛設閘極102。為移除虛設閘極102,可執行諸如CMP的平面化製程,使第一層間介電質114及接觸蝕刻停止層116的頂表面與虛設閘極102及閘極隔片108的頂表面齊平。平面化製程亦可沿遮罩104的側壁移除虛設閘極102上的遮罩104(見第7A圖)及閘極隔片108的部分。在平面化製程之後,虛設閘極102、閘極隔片108及第一層間介電質114的頂表面為齊平的。因此,經由第一層間介電質114曝露虛設閘極102的頂表面。
下一步,在蝕刻步驟中移除虛設閘極102,從而形成凹部103。在一些實施例中,藉由各向異性的乾式蝕刻製程移除虛設閘極102。舉例而言,蝕刻製程可包括使用反應氣體的乾式蝕刻製程,這些反應氣體在不蝕刻第一層間介電質114或閘極隔片108的情況下選擇性蝕刻虛設閘極102。每一凹部103曝露NSFET的通道區域。每一通道區域安置於磊晶源極/汲極區域112的相鄰對之間。在移除虛設閘極102期間,當蝕刻虛設閘極102時,可將虛設閘極介電質97用作蝕刻停止層。隨後,在移除虛設閘極102之後,可移除閘極介電質97。第8B圖示出第8A圖中的NSFET裝置100分別沿橫截面F-F的橫截面圖。
下一步,在第9A圖及第9B圖中,移除凹部103中的虛設閘極介電質97。可執行諸如各向同性蝕刻製程的蝕刻製程移除虛設閘極介電質97。在實施例中,執行使用包含HF及NH3的蝕刻氣體的各向同性蝕刻製程來移除虛 設閘極介電質97。
下一步,在第10A圖及第10B圖中,移除第一半導體材料52以釋放第二半導體材料54。在移除第一半導體材料52之後,第二半導體材料54形成複數個奈米片54,這些奈米片54水平地(例如平行於基板50的主要的上表面)延伸。奈米片54可統稱為所形成的NSFET裝置100的通道區域93或通道層93。如第10A圖所示,藉由移除第一半導體材料52在奈米片54之間形成縫隙53(例如空的空間)。
在一些實施例中,藉由使用對第一半導體材料52具有選擇性(例如具有對其具有高蝕刻速率)的蝕刻劑的選擇性蝕刻製程來移除第一半導體材料52,使得在基本不侵蝕第二半導體材料54的情況下移除第一半導體材料52。在實施例中,執行各向同性蝕刻製程來移除第一半導體材料52。可使用蝕刻氣體(視情況可具有載體氣體)執行各向同性蝕刻製程,其中蝕刻氣體包含F2及HF,載體氣體可為惰性氣體,例如Ar、He、N2、其組合或類似者。
第10A圖示出NSFET裝置100沿鰭片縱軸(沿鰭片的電流流動方向)的橫截面圖,第10B圖示出NSFET裝置100沿橫截面F-F的橫截面圖,橫截面F-F是沿垂直於鰭片縱軸的方向且穿過奈米片54之中間部分的橫截面。
第11圖至第13圖示出在奈米片54周圍且沿凹部103的側壁形成閘極層堆疊120(例如見第15A圖及 第15B圖)的後續處理步驟,其中在所示出的實施例中,閘極層堆疊120包括介面介電材料121、閘極介電材料123、功函數材料125及襯墊材料129。出於簡潔性的目的,第11圖至第13圖示出NSFET裝置100在第10B圖的區域56內的一部分的橫截面圖。
下一步參考第11圖,在奈米片54中之每一者周圍連續形成介面介電材料121及閘極介電材料123。雖然未在第11圖至第13圖中示出(但在第15B圖中示出),但如第15B圖所示出,閘極層堆疊120的不同組成材料亦在鰭片90的曝露表面上方及STI區域96的上表面上方形成。
介面介電材料121為合適的介電材料,例如藉由諸如CVD、PVD、ALD、熱氧化或類似者的合適方法形成的氧化矽。在實施例中,藉由熱氧化製程將奈米片54的外部(例如矽)轉換為氧化物(例如氧化矽)來形成介面介電材料121。作為實例,介面介電材料121的厚度在約5埃與約20埃之間。
下一步,在奈米片54周圍及介面介電材料121周圍形成(例如共形地)閘極介電材料123。根據一些實施例,閘極介電材料123包含氧化矽、氮化矽或其多層。在一些實施例中,閘極介電材料123包括高k介電材料,且在此等實施例中,閘極介電材料123可具有高於約7.0的k值,且可包括金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti或Pb的矽酸鹽或其組合。閘極介電材料123的 形成方法可包括分子束沉積(MBD)、ALD、PECVD及類似者。作為實例,閘極介電材料可具有約5埃與約35埃之間的厚度。
下一步,在第12圖中,在奈米片54周圍及閘極介電材料123周圍形成功函數材料125。例示性p型功函數材料(亦可稱為p型功函數金屬)包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他合適的p型功函數材料或其組合。例示性n型功函數材料(亦可稱為n型功函數金屬)包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的n型功函數材料或其組合。功函數值與功函數材料的材料組成相關聯,因此,選擇功函數材料以調整其功函數值,以便在待形成的裝置中實現目標臨界電壓VTH。可藉由ALD、CVD、物理氣相沉積(PVD)及/或其他合適的製程沉積功函數材料。在實施例中,NSFET裝置100為n型裝置,功函數材料125是藉由ALD形成的鈦鋁(TiAl)。在一些實施例中,功函數材料125的厚度在約10埃與約40埃之間。如果功函數材料125的厚度太小(例如小於約10埃),則功函數材料125可能不形成連續膜(例如可具有氣孔),且功函數材料125(例如TiAl)中的鋁可能不足以調整功函數材料125的功函數。如果功函數材料125的厚度太大(例如大於約40埃),則功函數材料125可佔據太多空間,且可難以在鄰近的奈米片54之間形成其他層。
下一步,在第13圖中,在奈米片54周圍及功函數材料125周圍形成(共形地)襯墊材料129。在所示出的實施例中,襯墊材料129由能夠阻止或減少鋁自功函數材料125(例如TiAl)擴散至NSFET裝置100之其他層中的材料形成。作為實例,襯墊材料129可由氧化鋁、氧化矽或矽形成。可使用諸如ALD、PVD、CVD或類似者的任何合適的形成方法形成襯墊材料129。在一些實施例中,襯墊材料129的厚度在約5埃與約30埃之間。上文所揭示的襯墊材料129的厚度範圍的下限確保形成無氣孔的連續層,上文所揭示的範圍的上限可由設計限制(例如鄰近奈米片54之間剩餘的空間)確定。在本文的討論中,介面介電材料121、閘極介電材料123、功函數材料125及襯墊材料129統稱為閘極層堆疊120。
在實施例中,襯墊材料129為氧化鋁。可使用任何合適的形成方法形成氧化鋁。舉例而言,可執行將三甲基鋁(例如Al2(CH3)6,亦稱為TMA)用作第一前驅物(例如含鋁前驅物)且將H2O用作第二前驅物(例如含氧前驅物)的ALD製程以形成襯墊材料129。可用以下化學方程式描述第一前驅物與第二前驅物之間的化學反應:Al2(CH3)6+H2O → AlO+CH3+CH4
作為另一實例,可藉由例如ALD或CVD製程,將異丙氧二甲基鋁(例如(CH3)2AlOCH(CH3)2,亦稱為DMAI)及H2O用作前驅物,形成用於襯墊材料129的 氧化鋁。作為另一實例,可藉由例如ALD或CVD製程,將AlCl3及H2O用作前驅物,形成用於襯墊材料129的氧化鋁。
在實施例中,襯墊材料129為鋁,且可使用諸如PVD、CVD、ALD或類似者的合適的形成方法形成襯墊材料129。可使用諸如矽烷、二矽烷或類似者的含矽前驅物形成矽,作為襯墊材料129。在另一實施例中,襯墊材料129為氧化矽,且可藉由首先形成矽,隨後氧化所形成的矽來形成氧化矽作為襯墊材料129。舉例而言,藉由在約250℃與約650℃的溫度下將矽烷或二矽烷置於含氧環境空氣中,來形成襯墊材料129(例如氧化矽)。
在美國專利申請案第16/904,751,號中,在每一奈米片周圍形成兩層襯墊材料(例如氮化鈦、氮化鉭或碳化鈦),每一奈米片周圍的功函數材料夾在兩層襯墊材料之間。當前的揭示案揭示襯墊材料的不同結構。另外,當前的揭示案中揭示的材料(例如氧化鋁、氧化矽或矽)提高阻止鋁在功函數材料中擴散的能力。
在第13圖的實例中,鄰近奈米片54之間的襯墊材料129合併在一起(例如相互實體接觸)。舉例而言,在第13圖的區域130B(鄰近奈米片54之間的區域)中,閘極層堆疊120完全填充鄰近奈米片54之間的空間。由此,後續形成的閘電極122(見第15B圖)不延伸至鄰近奈米片54之間的空間中。換言之,鄰近奈米片54之間的空間無閘電極材料。因此,兩個鄰近奈米片54(例如54A 及54B)之間的材料層的列表包括:介面介電材料121層、閘極介電材料123層、功函數材料125層、(合併)襯墊材料129層、功函數材料125層、閘極介電材料123層及介面介電材料121層。
仍參考第13圖,注意在區域130A(鰭片90遠端的最上奈米片54(例如54C)上方的區域)中,閘極層堆疊120具有第一厚度,而在區域130B中,閘極層堆疊120具有大於第一厚度的第二厚度。這是因為如上文所描述,在區域130B中,兩個鄰近奈米片54周圍的閘極層堆疊120合併在一起(例如實體接觸)且形成較厚(合併)的閘極層堆疊120。另外,由於鄰近奈米片54之間的襯墊材料129合併在一起,因此鄰近奈米片54之間(例如區域130B中)的襯墊材料129約為其他位置(例如在最上奈米片54上方(例如在區域130A中)或沿奈米片54的側壁)的襯墊材料129的兩倍厚。舉例而言,在第13圖中,在鄰近的奈米片54之間所量測的襯墊材料129的厚度T2為在最上奈米片54C上方所量測的襯墊材料129的厚度T1的約150%與約250%之間,例如約180%與約220%之間。在一些實施例中,功函數材料125的厚度T3與襯墊材料129的厚度T2的比例在約1與約2之間的範圍中。此比例確保功函數材料125及襯墊材料129均形成為連續層(例如無氣孔),同時確保功函數材料125具有用於調整功函數所需的充足的鋁,且襯墊材料129足夠厚以阻止或減少鋁的擴散。
藉由在功函數材料125周圍形成襯墊材料129,兩個鄰近奈米片54周圍的功函數材料125相互分隔,且各別的奈米片54周圍的功函數材料125的每一層保持為具有基本上均勻的厚度(例如在製造製程的限制內為均勻的)的共形層。在一些實施例中,功函數材料125中的鋁在確定NSFET裝置100的臨界電壓VTH中具有重要作用。不使用當前所揭示的方法的情況下(例如無襯墊材料129),兩個鄰近奈米片54之間的功函數材料可合併在一起,且在區域130B中形成比例如區域130A中更厚的功函數材料125層,這可在所形成的裝置中導致臨界電壓變化。相比之下,當前所揭示的方法阻止鄰近奈米片54之間的功函數材料125合併在一起,因此確保每一奈米片周圍的功函數材料125具有基本上均勻的厚度。因此,避免或減少鋁臨界電壓變化。
襯墊材料129進一步阻止或減少功函數材料125的鋁的移動(例如擴散),由此亦可稱為阻障層。應注意,襯墊材料129可為含鋁材料(例如氧化鋁),但氧化鋁中鋁與氧之間的分子鍵比鈦鋁中鋁與鈦之間的分子鍵強很多,因此襯墊材料129無鋁擴散問題。
現參考第14圖,在一些實施例中,在形成功函數材料125及形成襯墊材料129之前,在功函數材料125周圍形成覆蓋層127,以保護功函數材料125。可使用諸如ALD、CVD或類似者的合適的形成方法由諸如氮化鈦的合適材料形成覆蓋層127。覆蓋層127的厚度可小於約 20埃(例如在0埃與約20埃之間)。因此,與第13圖中的閘極層堆疊120相比,第14圖中的閘極層堆疊120具有額外覆蓋層127。因此,在第14圖的實例中,兩個鄰近奈米片54(例如54A及54B)之間的材料層的列表包括:介面介電材料121層、閘極介電材料123層、功函數材料125層、覆蓋層127、(合併)襯墊材料129層、覆蓋層127、功函數材料125層、閘極介電材料123層及介面介電材料121層。在第14圖中,區域130B中的閘極層堆疊120的厚度(或襯墊材料129的厚度)約為區域130A中的兩倍,細節與第13圖相似,因此不重複。下文的討論使用第13圖中的閘極層堆疊120作為實例,且理解可在所有實例中使用第14圖中的閘極層堆疊120來代替第13圖的閘極層堆疊120。
下一步,在第15A圖及第15B圖中,在凹部103(見第10A圖)中形成閘電極材料(例如導電材料),以形成閘電極122。閘電極材料填充凹部103的剩餘部分。閘電極材料可為含金屬的材料,例如TiN、TiO、TaN、TaC、Co、Ru、Al、W、其組合或其多層。在用閘電極材料填充凹部103之後,可執行諸如CMP的平面化製程以移除閘極層堆疊120的過量部分及閘電極材料的過量部分,此等過量部分在第一層間介電質114的頂表面上方。閘電極材料及閘極層堆疊120的剩餘部分由此形成所得NSFET裝置100的替換閘極。每一閘電極122及對應的閘極層堆疊120可統稱為閘極堆疊、替換閘極結構或金屬 閘極結構。每一閘極堆疊在各別的奈米片54周圍延伸。
應注意,出於簡潔性的目的,閘極層堆疊120的各個組成材料不在第15A圖中示出,但在第15B圖中展示,第15B圖是NSFET裝置100沿第15A圖之橫截面F-F的橫截面圖。在第15A圖中,亦示出區域130A及130B,其對應於第13圖中的區域130A及130B。如第15B圖所示,閘極層堆疊120亦完全填充最下奈米片54(例如54A)與鰭片90之間的空間,閘電極122至少在閘極層堆疊120的部分周圍延伸。換言之,閘電極122沿奈米片54的側壁上及最上奈米片54C的上表面上的閘極層堆疊120的部分延伸(與其實體接觸),但閘電極122不在兩個垂直鄰近的奈米片54之間(或鰭片90與最下奈米片54A之間)的區域延伸。
一般技術者容易地瞭解,可執行額外的處理以完成NSFET裝置100的製造,因此此處可不討論細節。舉例而言,可在第一層間介電質114上方沉積第二層間介電質。可經由第二層間介電質及/或第一層間介電質114形成閘極觸點及源極/汲極觸點,以分別電耦接至閘電極122及源極/汲極區域112。另外,可在第二層間介電質上方形成互連結構,以電連接至下置的電子組件(例如電晶體)以形成功能電路。
可能使用所揭示實施例的變化,且本揭示的實施方式的範疇完全意欲包括所揭示實施例的變化。舉例而言,取決於所形成的裝置的類型(例如n型或p型裝置),可 移除第二半導體材料54,第一半導體材料52可保留以形成奈米片,這些奈米片用作所形成的NSFET裝置的通道區域。如一般技術者所容易地瞭解,在第一半導體材料52保留以形成奈米片的實施例中,在移除第二半導體材料54之前,在第二半導體材料54的端部中在凹部中形成內隔片。
第16圖、第17圖、第18A圖及第18B圖是根據另一實施例的在各個製造階段的奈米片場效電晶體(NSFET)裝置200的橫截面圖。參考第16圖,NSFET裝置200類似於第13圖中的NSFET裝置100,但NSFET裝置200具有n型裝置區域210及p型裝置區域220。在所示出的實施例中,第16圖的n型裝置區域210中的結構(例如具有閘極層堆疊120的奈米片54)與第13圖中示出的結構相同,且在第3A圖、第3B圖、第4A圖、第4B圖、第5A圖至第5C圖、第6A圖至第6C圖、第7A圖至第7C圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖及第11圖至第13圖所示出的用於形成第13圖中之結構的相同處理步驟之後形成第16圖的n型裝置區域210中的結構。另外,第16圖進一步示出p型裝置區域220中形成的結構(奈米片52及閘極層堆疊120),在與n型裝置區域210中的結構相似的處理步驟中形成該結構。舉例而言,由於在p型裝置區域220中形成p型通道區域,因此移除第二半導體材料54(例如Si)以釋放第一半導體材料52(例如SiGe) 以形成奈米片52。另外,在p型裝置區域220中的奈米片52之間形成內隔片55(見第18B圖)。可調適第6A圖至第6C圖、第7A圖至第7C圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖及第10B圖中示出的處理,以形成奈米片52,因此不討論細節。在第16圖中,區域131B界定鄰近奈米片52之間的區域,區域131A界定最上奈米片52(例如52C)上方的區域。
在實施例中,為形成NSFET裝置200,對n型裝置區域210及p型裝置區域220執行第2圖、第3A圖、第3B圖、第4A圖、第4B圖及第5A圖至第5C圖中示出的處理步驟。下一步,第一圖案化遮罩層(例如圖案化的光阻)覆蓋p型裝置區域220,同時對n型裝置區域210執行第6A圖至第6C圖、第7A圖至第7C圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖及第10B圖中展示的處理步驟以形成奈米片54。下一步,移除第一圖案化遮罩層,第二圖案化遮罩層覆蓋n型裝置區域210,對p型裝置區域220執行相似的處理步驟(例如類似於第6A圖至第6C圖、第7A圖至第7C圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖及第10B圖中展示,但經調整以形成奈米片52的處理步驟)以形成奈米片52。下一步,移除第二圖案化遮罩層,對n型裝置區域210及p型裝置區域220執行第11圖至第13圖示出的處理步驟以形成第16圖所示的結構。
下一步,在第17圖中,形成第三圖案化遮罩層以 覆蓋n型裝置區域210,執行一或多個蝕刻製程以移除襯墊材料129及功函數材料125,使得曝露奈米片52周圍的閘極介電材料123。下一步,在奈米片52周圍及閘極介電材料123周圍形成諸如p型功函數材料(例如TiN)的功函數材料124。在形成功函數材料124之後,移除第三圖案化遮罩層。介面介電材料121、閘極介電材料123及功函數材料124形成p型裝置區域220的閘極層堆疊126。
應注意,在第17圖的實例中,鄰近奈米片52(在區域131B中)之間的功函數材料124合併在一起。因此,區域131B中的功函數材料124的厚度可為區域131A中的功函數材料124的厚度的兩倍或更多倍。因此,兩個鄰近奈米片52(例如52A及52B)之間的材料層的列表包括:介面介電材料121層、閘極介電材料123層、(合併)功函數材料124層、閘極介電材料123層及介面介電材料121層。在所示出的實施例中,功函數材料124(例如p型功函數材料,例如TiN)不含鋁(其容易地擴散),且因此p型裝置區域220中不使用襯墊材料129。鄰近奈米片52之間增加的空間提高了調整功函數材料124的結構(例如功函數材料124中子層的數量及子層的厚度)的靈活性。應注意,雖然在圖式中將功函數材料124(或125)示出為單一層,但功函數材料124(或125)可具有有複數個子層的多層結構。
下一步,如第18A圖及第18B圖所示,在奈米片 54/52周圍及層堆疊120/126周圍形成閘電極122。第18A圖示出NSFET裝置200沿n型裝置區域210中鰭片的縱向的橫截面圖,第18B圖示出NSFET裝置200沿p型裝置區域220中鰭片的縱向的橫截面圖。
在所示出的實施例中,第18A圖的橫截面圖與第15A圖的橫截面圖相同,因此不重複細節。在第18B圖中,閘極層堆疊126填充p型裝置區域220中鄰近奈米片52之間的空間,因此在第18B圖中的鄰近奈米片52之間無閘電極122。另外,由於閘電極122填充移除第二半導體材料54的最頂層之後留下的空間,閘電極122具有在閘極隔片108之間量測的第一寬度W1,具有在最頂內隔片55之間量測的第二寬度W2,其中W2大於W1。
第19圖是根據一些實施例的半導體裝置的製造方法的流程圖。應理解,第19圖展示的實施例方法僅為許多可能的實施例方法的一實例。一般技術者將瞭解許多變化、替代及修改。舉例而言,可增加、移除、替換、重安排或重複第19圖示出的各個步驟。
參考第19圖,在方塊1010處,形成鰭片,其凸出於基板上方。在方塊1020處,在鰭片上方形成源極/汲極區域。在方塊1030處,在鰭片上方及源極/汲極區域之間形成第一奈米片及第二奈米片,第一奈米片安置於鰭片與第二奈米片之間。在方塊1040處,在第一奈米片及第二奈米片周圍形成閘極介電材料。在方塊1050處,在閘極介電材料周圍形成功函數材料,其中功函數材料的第一 部分沿第一奈米片的背離基板的第一表面延伸,功函數材料的第二部分沿第二奈米片的面向基板的第二表面延伸。在方塊1060處,在功函數材料周圍形成襯墊材料,其中襯墊材料填充功函數材料的第一部分與第二部分之間的縫隙。在方塊1070處,在第一奈米片及第二奈米片上方形成閘極材料。
實施例可實現優勢。藉由形成襯墊材料,所揭示的方法阻止鄰近奈米片周圍的功函數材料合併在一起且在鄰近的奈米片之間形成較厚的功函數材料,由此避免或減少了臨界電壓變化。另外,襯墊材料阻止或減少鋁自功函數材料擴散至NSFET裝置的其他層中。
在實施例中,半導體裝置包括:凸出於基板上方的鰭片;鰭片上方的複數個源極/汲極區域;複數個源極/汲極區域之間的複數個奈米片;及鰭片上方及複數個源極/汲極區域之間的閘極結構,閘極結構包含:複數個奈米片中之每一者周圍的閘極介電材料;閘極介電材料周圍的功函數材料;功函數材料周圍的襯墊材料,其中襯墊材料具有非均勻厚度,在複數個奈米片之間的第一位置處比沿奈米片側壁的第二位置處厚;及在襯墊材料的至少部分周圍的閘電極材料。在實施例中,功函數材料是含鋁材料,襯墊材料是氧化物。在實施例中,功函數材料是鈦鋁,襯墊材料是氧化鋁或氧化矽。在實施例中,襯墊材料是矽。在實施例中,功函數材料是鈦鋁。在實施例中,複數個奈米片包含第一奈米片及第二奈米片,第一奈米片在第二奈米片 與基板之間,其中第一奈米片周圍的功函數材料具有面向第二奈米片的第一表面,第二奈米片周圍的功函數材料具有面向第一奈米片的第二表面,其中襯墊材料填充功函數材料的第一表面與第二表面之間的空間。在實施例中,功函數材料的第一表面與第二表面之間的空間無閘電極材料。在實施例中,半導體裝置進一步包括介面介電材料在複數個奈米片中之每一者與閘極介電材料之間。在實施例中,複數個奈米片包括第一奈米片及垂直鄰近第一奈米片的第二奈米片,其中介面介電材料、閘極介電材料、功函數材料及襯墊材料完全填充第一奈米片與第二奈米片之間的空間。在實施例中,介面介電材料、閘極介電材料、功函數材料及襯墊材料進一步完全填充鰭片與奈米片中最靠近鰭片的最下奈米片之間的空間。在實施例中,襯墊材料在第一奈米片的背離基板的第一表面具有第一厚度,在第一奈米片的面向基板的第二表面具有第二厚度,其中第一奈米片為離基板最遠的最上奈米片,第二厚度大於第一厚度。在實施例中,第二厚度約為第一厚度的兩倍。
在實施例中,半導體裝置包括:凸出於基板上方的鰭片;鰭片上方的閘極結構;閘極結構的相對側上的鰭片上方的複數個源極/汲極區域;及安置於複數個源極/汲極區域之間及鰭片上方的第一通道層及第二通道層,其中第一通道層在第二通道層與鰭片之間,其中閘極結構包含:第一通道層周圍及第二通道層周圍的閘極介電材料;閘極介電材料周圍的功函數材料;功函數材料周圍的襯墊材料, 其中襯墊材料在鰭片遠端的第二通道層的上表面上方具有第一厚度,在第一通道層與第二通道層之間具有第二厚度,其中第一厚度小於第二厚度;及閘電極。在實施例中,半導體裝置進一步包括第一通道層之第一端部與第二通道層之第二端部之間的內隔片,其中閘極介電材料、功函數材料及襯墊材料填充內隔片之間的空間。在實施例中,功函數材料是鈦鋁,襯墊材料是氧化鋁、氧化矽或矽。在實施例中,第二厚度約為第一厚度的兩倍。在實施例中,半導體裝置進一步包括閘極介電材料與第一通道層之間及閘極介電材料與第二通道層之間的介面介電材料,其中介面介電材料、閘極介電材料、功函數材料及襯墊材料填充第一通道層與第二通道層之間的空間。
在實施例中,形成半導體裝置的方法包括:形成凸出於基板上方的鰭片;在鰭片上方形成複數個源極/汲極區域;形成鰭片上方及複數個源極/汲極區域之間的第一奈米片及第二奈米片,第一奈米片安置於鰭片與第二奈米片之間;在第一奈米片及第二奈米片周圍形成閘極介電材料;在閘極介電材料周圍形成功函數材料,其中功函數材料的第一部分沿第一奈米片的背離基板的第一表面延伸,功函數材料的第二部分沿第二奈米片的面向基板的第二表面延伸;在功函數材料周圍形成襯墊材料,其中襯墊材料填充功函數材料的第一部分與第二部分之間的縫隙;及在第一奈米片及第二奈米片上方形成閘極材料。在實施例中,功函數材料的第一部分與第二部分之間的縫隙無閘極材料。 在實施例中,襯墊材料由鈦鋁形成,功函數材料由氧化鋁、氧化矽或矽形成。
上文概述若干實施例的特徵,使得熟習此項技術者可較佳地理解本揭示的實施方式的態樣。熟習此項技術者應理解他們可容易地以本揭示的實施方式為基礎來設計或修改其他製程或結構,以達到相同的目的及/或獲得本文所介紹的實施例的相同優點。熟習此項技術者亦應認識到此等等效構造不脫離本揭示的實施方式的精神及範疇,並且他們可在不脫離本揭示的實施方式之精神及範疇的情況下作出各種改變、替換及更改。
50:基板
54:奈米片
54A:奈米片
54B:奈米片
54C:奈米片
90:半導體鰭片
93:通道區域
96:淺溝槽隔離區域
100:奈米片場效電晶體裝置
120:閘極層堆疊
121:介面介電材料
122:閘電極
123:閘極介電材料
125:功函數材料
129:襯墊材料

Claims (10)

  1. 一種半導體裝置,包含:一鰭片,凸出於一基板上方;複數個源極/汲極區域,在該鰭片上方;複數個奈米片,在該複數個源極/汲極區域之間;及一閘極結構,在該鰭片上方及該複數個源極/汲極區域之間,該閘極結構包含:一閘極介電材料,在該複數個奈米片中之每一者周圍;一功函數材料,在該閘極介電材料周圍;一襯墊材料,在該功函數材料周圍,其中該襯墊材料具有一非均勻厚度,在該複數個奈米片之間的一第一位置比沿該複數個奈米片之複數個側壁的一第二位置厚;及一閘電極材料,在該襯墊材料的至少部分周圍。
  2. 如請求項1所述之半導體裝置,其中該功函數材料是一含鋁材料,且該襯墊材料是一氧化物。
  3. 如請求項1所述之半導體裝置,其中該襯墊材料是矽。
  4. 如請求項1所述之半導體裝置,其中該複數個奈米片包含一第一奈米片及一第二奈米片,該第一奈米 片在該第二奈米片與該基板之間,其中該第一奈米片周圍的該功函數材料具有面向該第二奈米片的一第一表面,且該第二奈米片周圍的該功函數材料具有面向該第一奈米片的一第二表面,其中該襯墊材料填充該功函數材料的該第一表面與該第二表面之間的一空間。
  5. 如請求項1所述半導體裝置,進一步包含一介面介電材料在該複數個奈米片中之每一者與該閘極介電材料之間。
  6. 如請求項1所述之半導體裝置,其中該襯墊材料在一第一奈米片的背離該基板的一第一表面具有一第一厚度,且在該第一奈米片的面向該基板的一第二表面具有一第二厚度,其中該第一奈米片為離該基板最遠的一最上奈米片,其中該第二厚度大於該第一厚度。
  7. 一種半導體裝置,包含:一鰭片,凸出於一基板上方;一閘極結構,在該鰭片上方;複數個源極/汲極區域,在該閘極結構的相對側上的該鰭片上方;及一第一通道層及一第二通道層,安置於該複數個源極/汲極區域之間及該鰭片上方,其中該第一通道層在該第二通道層與該鰭片之間,其中該閘極結構包含: 一閘極介電材料,在該第一通道層周圍及該第二通道層周圍;一功函數材料,在該閘極介電材料周圍;一襯墊材料,在該功函數材料周圍,其中該襯墊材料在該鰭片遠端的第二通道層的一上表面上方具有一第一厚度,在該第一通道層與該第二通道層之間具有一第二厚度,其中該第一厚度小於該第二厚度;及一閘電極。
  8. 如請求項7所述之半導體裝置,進一步包括該第一通道層之第一端部與該第二通道層之第二端部之間的複數個內隔片,其中該閘極介電材料、該功函數材料及該襯墊材料填充該複數個內隔片之間的一空間。
  9. 一種形成半導體裝置的方法,該方法包含:形成凸出於一基板上方的一鰭片;在該鰭片上方形成複數個源極/汲極區域;在該鰭片上方及該複數個源極/汲極區域之間形成一第一奈米片及一第二奈米片,該第一奈米片安置於該鰭片與該第二奈米片之間;在該第一奈米片及該第二奈米片周圍形成一閘極介電材料;在該閘極介電材料周圍形成一功函數材料,其中該功函數材料的一第一部分沿該第一奈米片的背離該基板的一第 一表面延伸,該功函數材料的一第二部分沿該第二奈米片的面向該基板的一第二表面延伸;在該功函數材料周圍形成一襯墊材料,其中該襯墊材料填充該功函數材料的該第一部分與該第二部分之間的一縫隙;及在該第一奈米片及該第二奈米片上方形成一閘極材料。
  10. 如請求項9所述之方法,其中該功函數材料的該第一部分與該第二部分之間的該縫隙無該閘極材料。
TW110134073A 2021-04-14 2021-09-13 半導體裝置及形成半導體裝置的方法 TWI809500B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163174634P 2021-04-14 2021-04-14
US63/174,634 2021-04-14
US17/341,034 US12009391B2 (en) 2021-04-14 2021-06-07 Nanosheet field-effect transistor device and method of forming
US17/341,034 2021-06-07

Publications (2)

Publication Number Publication Date
TW202240908A TW202240908A (zh) 2022-10-16
TWI809500B true TWI809500B (zh) 2023-07-21

Family

ID=82972891

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110134073A TWI809500B (zh) 2021-04-14 2021-09-13 半導體裝置及形成半導體裝置的方法

Country Status (5)

Country Link
US (1) US12009391B2 (zh)
KR (1) KR102557598B1 (zh)
CN (1) CN114975440A (zh)
DE (1) DE102021115177B4 (zh)
TW (1) TWI809500B (zh)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
EP3588575A1 (en) * 2018-06-22 2020-01-01 INTEL Corporation Dielectric isolation layer between a nanowire transistor and a substrate
US20200286992A1 (en) * 2019-03-04 2020-09-10 International Business Machines Corporation Source/drain extension regions and air spacers for nanosheet field-effect transistor structures
US20200365706A1 (en) * 2017-11-03 2020-11-19 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
TW202044589A (zh) * 2019-05-24 2020-12-01 台灣積體電路製造股份有限公司 半導體結構及其形成方法
TW202109678A (zh) * 2019-08-30 2021-03-01 台灣積體電路製造股份有限公司 半導體裝置之製造方法
TW202114221A (zh) * 2019-09-27 2021-04-01 台灣積體電路製造股份有限公司 半導體裝置與其形成方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9764950B2 (en) 2013-08-16 2017-09-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
US9224833B2 (en) 2014-02-13 2015-12-29 Taiwan Semiconductor Manufacturing Company Limited Method of forming a vertical device
US9653563B2 (en) 2014-04-18 2017-05-16 Taiwan Semiconductor Manufacturing Company Limited Connection structure for vertical gate all around (VGAA) devices on semiconductor on insulator (SOI) substrate
US9251888B1 (en) 2014-09-15 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells with vertical gate-all-round MOSFETs
KR102495082B1 (ko) * 2018-06-12 2023-02-01 삼성전자주식회사 반도체 장치
KR102515393B1 (ko) 2018-06-29 2023-03-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10957798B2 (en) 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US20200365706A1 (en) * 2017-11-03 2020-11-19 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
EP3588575A1 (en) * 2018-06-22 2020-01-01 INTEL Corporation Dielectric isolation layer between a nanowire transistor and a substrate
US20200286992A1 (en) * 2019-03-04 2020-09-10 International Business Machines Corporation Source/drain extension regions and air spacers for nanosheet field-effect transistor structures
TW202044589A (zh) * 2019-05-24 2020-12-01 台灣積體電路製造股份有限公司 半導體結構及其形成方法
TW202109678A (zh) * 2019-08-30 2021-03-01 台灣積體電路製造股份有限公司 半導體裝置之製造方法
TW202114221A (zh) * 2019-09-27 2021-04-01 台灣積體電路製造股份有限公司 半導體裝置與其形成方法

Also Published As

Publication number Publication date
US12009391B2 (en) 2024-06-11
DE102021115177B4 (de) 2024-05-08
KR102557598B1 (ko) 2023-07-19
DE102021115177A1 (de) 2022-10-20
US20220336584A1 (en) 2022-10-20
TW202240908A (zh) 2022-10-16
KR20220142317A (ko) 2022-10-21
CN114975440A (zh) 2022-08-30

Similar Documents

Publication Publication Date Title
TWI762129B (zh) 半導體裝置及其形成方法
CN110838487B (zh) 半导体器件及方法
TWI794900B (zh) 形成半導體裝置的方法
US20220130730A1 (en) Semiconductor Device and Method
TWI780685B (zh) 形成半導體裝置的方法
US20240213347A1 (en) Nanosheet field-effect transistor device and method of forming
US20220181214A1 (en) Multi-Channel Devices and Methods of Manufacture
TWI785589B (zh) 半導體裝置及其形成方法
TW202125648A (zh) 半導體裝置的形成方法
US20220367193A1 (en) Semiconductor Device and Method
US11742387B2 (en) Hybrid channel semiconductor device and method
TWI809500B (zh) 半導體裝置及形成半導體裝置的方法
TWI760052B (zh) 形成閘電極的方法、半導體裝置及製造半導體裝置的方法
US20230040843A1 (en) Nanostructure field-effect transistor device and method of forming
TW202145300A (zh) 半導體裝置及其製造方法
CN113130653A (zh) 纳米片场效应晶体管器件及其形成方法