TWI809303B - 具有氣體孔的半導體製造裝置零件的洗淨方法 - Google Patents

具有氣體孔的半導體製造裝置零件的洗淨方法 Download PDF

Info

Publication number
TWI809303B
TWI809303B TW109129361A TW109129361A TWI809303B TW I809303 B TWI809303 B TW I809303B TW 109129361 A TW109129361 A TW 109129361A TW 109129361 A TW109129361 A TW 109129361A TW I809303 B TWI809303 B TW I809303B
Authority
TW
Taiwan
Prior art keywords
gas
cleaning
semiconductor manufacturing
parts
gas holes
Prior art date
Application number
TW109129361A
Other languages
English (en)
Other versions
TW202108254A (zh
Inventor
松村知宏
松本昭廣
Original Assignee
日商新菱股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=74685044&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TWI809303(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 日商新菱股份有限公司 filed Critical 日商新菱股份有限公司
Publication of TW202108254A publication Critical patent/TW202108254A/zh
Application granted granted Critical
Publication of TWI809303B publication Critical patent/TWI809303B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0042Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by laser
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/005Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by infrared radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/02Cleaning by methods not provided for in a single other subclass or a single group in this subclass by distortion, beating, or vibration of the surface to be cleaned
    • B08B7/026Using sound waves
    • B08B7/028Using ultrasounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明提供一種具有氣體孔的半導體製造裝置零件的洗淨方法,所述具有氣體孔的半導體製造裝置零件於對半導體晶圓進行處理的單片式半導體製造裝置中使用,所述具有氣體孔的半導體製造裝置零件的洗淨方法中,所述具有氣體孔的半導體製造裝置零件包含鋁或鋁合金,且包括具有多個氣體孔的分散板,所述具有氣體孔的半導體製造裝置零件的洗淨方法包括:利用雷射光束掃描所述分散板的面向晶圓之側的表面即氣體噴射面的步驟(1);以及使所述氣體噴射面以及所述氣體孔的內部與包含無機酸的清洗液接觸的步驟(2)。

Description

具有氣體孔的半導體製造裝置零件的洗淨方法
本發明是有關於一種具有氣體孔的半導體製造裝置零件的洗淨方法。 本申請案基於2019年8月28日於日本提出申請的日本專利特願2019-155485號而主張優先權,並且將其內容引用於此。
於對半導體晶圓進行處理的單片式半導體製造裝置中,當對半導體晶圓的表面實施蝕刻處理或實施成膜處理時,使用用以對晶圓均勻地噴射氣體的噴淋頭(shower head)。 噴淋頭通常包含鋁或鋁合金,且包括具有多個貫通孔(氣體孔)的分散板。由自噴淋頭噴射的氣體生成的堆積物附著於所述分散板的面向晶圓之側的表面(氣體噴射面)。由於堆積物亦附著於氣體孔的內部,故若不除去堆積物而繼續使用噴淋頭,則不久氣體孔會堵塞。因此,需要洗淨噴淋頭的氣體噴射面,將堆積物除去。
於專利文獻1中記載了一種清洗方法,藉由洗淨除去附著於包含鋁或鋁合金的洗淨對象物的表面的包含金屬氧化膜的除去對象膜,所述清洗方法的特徵在於,使用至少包含氟化銨、氫氟酸及乙二醇的清洗溶液或包含氟化銨乙酸溶液的清洗溶液。
於專利文獻2中記載了一種自基板處理裝置的製程腔室的組件(Component)洗淨製程堆積物的方法,其中,該組件具有多個氣孔,該方法包括以下工序:(a)將以與該組件內的所述多個氣孔的佈局一致的方式隔開設置的多個伸長銷機械地壓入該組件的所述氣孔中並使其穿過內部,藉此同時去除所述多個氣孔內的製程堆積物,洗淨該氣孔內的該製程堆積物;(b)將該組件暴露於酸性溶液中;以及(c)藉由(1)將該組件配置於電漿區的步驟、(2)將氣體導入該電漿區的步驟、(3)於該電漿區內形成該氣體的電漿的步驟、(4)將該氣體自該電漿區排出的步驟,使該組件電漿穩定化。 [現有技術文獻] [專利文獻]
[專利文獻1]日本專利特開2005-167087號公報 [專利文獻2]日本專利第4668915號公報
[發明所欲解決之課題] 但是,伴隨著半導體製程的微細化,隨著於半導體元件上形成較先前更緻密的薄膜,更緻密的堆積物亦附著於噴淋頭的氣體噴射面上。發現為了除去此種緻密的堆積物,若使用專利文獻1中記載的清洗液或硝酸等無機酸進行洗淨處理,則處理需要較長時間。其結果,分散板的溶解量變大,氣體孔的直徑擴大,因此於晶圓的成膜處理時無法形成均勻膜厚的成膜。
另外,於將專利文獻2的洗淨製程堆積物的方法應用於具有氣體孔的半導體製造裝置零件的情況下,工序(a)花費工夫及時間,無法於短時間內簡便地洗淨具有氣體孔的半導體製造裝置零件。
因此,本發明的課題在於提供一種可縮短洗淨時間、且簡便的具有氣體孔的半導體製造裝置零件的洗淨方法。 [解決課題之手段]
[1] 一種具有氣體孔的半導體製造裝置零件的洗淨方法,所述具有氣體孔的半導體製造裝置零件於對半導體晶圓進行處理的單片式半導體製造裝置中使用,所述具有氣體孔的半導體製造裝置零件的洗淨方法中, 所述具有氣體孔的半導體製造裝置零件包含鋁或鋁合金,且包括具有多個氣體孔的分散板, 所述具有氣體孔的半導體製造裝置零件的洗淨方法包括: 利用雷射光束掃描所述分散板的面向晶圓之側的表面即氣體噴射面的步驟(1);以及 使所述氣體噴射面以及所述氣體孔的內部與包含無機酸的清洗液接觸的步驟(2)。 [2] 如[1]所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述雷射光束的平均能量為1 W~10000 W。 [3] 如[1]或[2]所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述雷射光束的平均能量密度為1×103 W/m2 ~1×1013 W/m2 。 [4] 如[1]至[3]中任一項所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述雷射光束為脈衝光束。 [5] 如[4]所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述脈衝光束的脈衝頻率為1 Hz~5000 kHz。 [6] 如[1]至[5]中任一項所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述雷射光束藉由選自由CO2 雷射、He-Ne雷射、氬雷射、釔鋁石榴石(Yttrium Aluminum Garnet,YAG)雷射、Nd:釔鋁石榴石雷射、Er:Nd-釔鋁石榴石雷射、光纖雷射以及高功率二極體雷射所組成的群組中的至少一者而生成。 [7] 如[1]至[6]中任一項所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述雷射光束的波長為10 nm~1000 μm。 [8] 如[1]至[7]中任一項所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述無機酸包含選自由硝酸、鹽酸、硫酸以及氫氟酸所組成的群組中的至少一種。 [9] 如[1]至[8]中任一項所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述清洗液包含氧化劑。 [10] 如[1]至[9]中任一項所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中於所述步驟(2)中,將所述具有氣體孔的半導體製造裝置零件浸漬於所述清洗液中。 [11] 如[10]所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中將所述具有氣體孔的半導體製造裝置零件於所述清洗液中浸漬0.5小時~24小時。 [12] 如[1]至[11]中任一項所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中堆積物附著於所述分散板的所述氣體噴射面以及所述氣體孔,所述堆積物包含蝕刻氣體與鋁的反應生成物。 [13] 如[1]至[12]中任一項所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中堆積物附著於所述分散板的所述氣體噴射面以及所述氣體孔,所述堆積物包含源自成膜氣體的化合物。 [14] 如[1]至[13]中任一項所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中於所述步驟(1)與所述步驟(2)之間包括對所述氣體噴射面照射超音波的步驟(3)。 [15] 如[1]至[14]中任一項所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述具有氣體孔的半導體製造裝置零件為噴淋頭。 [發明的效果]
根據本發明,可提供一種可縮短洗淨時間、且簡便的具有氣體孔的半導體製造裝置零件的洗淨方法。
關於使用「~」來表示的數值範圍,於其數值範圍包括「~」兩側的數值。 「YAG雷射」是指使用釔-鋁-石榴石(Yttrium Aluminum Garnet)的固體雷射。另外,「Nd:YAG雷射」是指使用於製造YAG結晶的過程中於釔中摻雜(添加)數%的釹(元素符號Nd)而成的結晶的YAG雷射,「Er:Nd-YAG雷射」是指使用於製造YAG結晶的過程中於釔中摻雜(添加)數%的釹(元素符號Nd)以及數%的鉺(元素符號Er)而成的結晶的YAG雷射。
以下對本發明的實施方式進行了說明,但本發明並不限定於後述的實施方式,只要不脫離本發明的主旨,則能夠進行各種變形。
[具有氣體孔的半導體製造裝置零件的洗淨方法] 本發明為一種於對半導體晶圓進行處理的單片式半導體製造裝置中使用的具有氣體孔的半導體製造裝置零件的洗淨方法(以下,有時簡稱為「本發明的洗淨方法」)。
<具有氣體孔的半導體製造裝置零件> 所述具有氣體孔的半導體製造裝置零件(以下,有時簡稱為「半導體製造裝置零件」)包含鋁或鋁合金,且包括具有多個氣體孔的分散板。 所述分散板為所述半導體製造裝置零件的一部分,可與所述半導體製造裝置零件的所述分散板以外的部分構成為一體而不可分離,亦可構成為裝卸自如。
所述具有氣體孔的半導體製造裝置零件的較佳實施方式為噴淋頭。 於圖1中示出包括噴淋頭的成膜裝置的一例。但是,能夠應用本發明的清洗方法的噴淋頭並不限定於圖1中所示的噴淋頭。
圖1中所示的成膜裝置2具有例如剖面的內部形成為大致圓筒狀的鋁製或鋁合金製的處理容器4。於所述處理容器4內的頂部設置有用於導入必要的處理氣體、例如成膜用的原料氣體或其以外的輔助氣體的噴淋頭6,自其下表面的分散板8上所設置的多個氣體孔10向處理空間S吹出處理氣體,以所述方式進行噴射。
另外,於處理容器4的側壁設置有用於相對於所述處理容器4內搬入搬出作為被處理體的半導體晶圓等基板W的搬入搬出口12,並且於所述搬入搬出口12設置有能夠氣密地進行開閉的閘閥14。 而且,於所述處理容器4的底部16形成有排氣落入空間18。具體而言,於所述處理容器4的底部16的中央部形成有大的開口20,將所述開口20與向其下方延伸的有底圓筒體狀的圓筒劃分壁22連結,於其內部形成有排氣落入空間18。而且,於劃分所述排氣落入空間18的圓筒劃分壁22的底部24,設置有自此立起且包含例如石英玻璃等的圓筒體狀的支柱26,於其上端部藉由焊接固定有載置台28。另外,亦可由AlN等的陶瓷形成支柱26或載置台28。
而且,排氣落入空間18的入口側的開口20被設定為較載置台28的直徑小,於載置台28的周緣部的外側流下的處理氣體迂回至載置台28的下方並向開口20流入。而且,於圓筒劃分壁22的下部側壁,與所述排氣落入空間18相向而形成有排氣口30。於所述排氣口30,連接有真空排氣系統32。具體而言,所述真空排氣系統32包含介隔設置有未圖示的真空泵的排氣管34,將所述排氣管34與排氣口30連接,能夠對處理容器4內以及排氣落入空間18的氣氛進行抽真空而進行排氣。
而且,於所述排氣管34的中途,介隔設置能夠進行開度控制的未圖示的壓力調整閥,藉由自動地調整所述閥開度,能夠將處理容器4內的壓力維持在一定值,或者使其迅速地向所期望的壓力變化。 另外,於載置台28,埋入有包含例如碳線等的電阻加熱器的加熱單元36,於所述載置台28的上表面載置作為被處理體的半導體晶圓等基板W,可對其進行加熱。加熱單元36與配設於支柱26內的供電線38連接,可於控制電力的同時供給電力。
於載置台28,沿其上下方向貫通而形成有多個、例如三個銷插通孔40(圖1中僅示出兩個),且配置有能夠上下移動地以游離嵌合狀態插通於各銷插通孔40的上推銷42。於所述上推銷42的下端,配置有形成為圓形環狀的例如氧化鋁般的陶瓷製的上推環44,使所述上推環44以各上推銷42的下端未被固定狀態對各上推銷42的下端進行支持。自所述上推環44延伸的臂部46與貫通處理容器4的底部16而設置的出沒桿48連結,所述出沒桿48能夠藉由致動器50進行升降。藉此,於進行晶圓W的交接時,使各上推銷42自各銷插通孔40的上端向上方出沒。另外,於致動器50的出沒桿48的容器底部的貫通部,介隔設置有能夠伸縮的波紋管52,使得出沒桿48可於維持處理容器4內的氣密性的同時進行升降。
接著,如後所述般對成為洗淨對象物的噴淋頭6進行說明。 所述噴淋頭6介隔O型環等密封構件55並藉由螺栓57能夠裝卸地安裝於將處理容器4的上端開口部閉合的頂板54。所述噴淋頭6例如具有有底圓筒體狀的噴淋頭本體56。此處,於頂板54的周邊部與處理容器4的上端部之間,介隔設置有例如O型環等密封構件58,維持處理容器4內的氣密性。所述噴淋頭6的整體由鋁或鋁合金形成。
而且,於噴淋頭本體56內,分離劃分地形成有使原料氣體擴散的第一擴散室60、及使輔助氣體擴散的第二擴散室62。於圖1中,於噴淋頭本體56內設置沿著水平方向而配置的劃分板64,藉此於其上下分離劃分地形成有第一擴散室60以及第二擴散室62。而且,第一擴散室60為了導入原料氣體而與噴淋頭6的頂板7上所設置的處理氣體導入口66A連通,另外,第二擴散室62為了導入輔助氣體而與設置於頂板7的輔助氣體導入口66B連通。另外,位於噴淋頭本體56的下表面的板狀的分散板8藉由螺栓9能夠裝卸地安裝於噴淋頭本體56。 此處,於噴淋頭本體56的下表面即分散板8上所形成的氣體孔10於縱橫方向呈矩陣狀大致面內均勻地配置有多個。所述氣體孔10由用於噴射原料氣體的原料氣體孔10A、及以位於相鄰的兩個原料氣體孔10A之間的方式而設置的第二輔助氣體孔10C形成。
<步驟(1)以及步驟(2)> 本發明的洗淨方法包括以下步驟(1)以及步驟(2)。 步驟(1):利用雷射光束掃描所述分散板的面向晶圓之側的表面即氣體噴射面的步驟 步驟(2):使所述氣體噴射面以及所述氣體孔的內部與包含無機酸的清洗液接觸的步驟
(步驟(1)) 於步驟(1)中,藉由利用雷射光束掃描所述氣體噴射面,除去附著於所述分散板的面向晶圓之側的表面即所述氣體噴射面的堆積物。 另外,雷射光束亦照射至設置於所述分散板上的氣體孔的內表面,因此附著於氣體孔內表面的堆積物的至少一部分被除去。 進而,即使未除去保持附著於氣體孔內表面的狀態而殘留的堆積物,藉由照射雷射光束,亦會產生出現裂紋等物理變化。因此,當於步驟(2)中使其與清洗液接觸時,清洗液容易浸透至堆積物中。
雷射光束的雷射源例如為如CO2 雷射、He-Ne雷射或氬雷射般的氣體雷射;如YAG雷射、Nd:YAG雷射、Er:Nd-YAG雷射、光纖雷射或高功率二極體雷射般的固體雷射。 作為雷射源,較佳為選自由該些氣體雷射以及固體雷射所組成的群組中的至少一者,更佳為任一者。其中,更佳為自由該些固體雷射所組成的群組中選擇,進而佳為自由YAG雷射、Nd:YAG雷射以及光纖雷射所組成的群組中選擇。 藉由將雷射光束的雷射源設為如上所述者,可至少除去氣體噴射面的堆積物而不損傷鋁或鋁合金製的分散板。 可同時使用來自兩種以上雷射源的雷射光束,亦可僅使用來自一種雷射源的雷射光束。
CO2 雷射通常生成波長為9300 nm~10600 nm的雷射光束。氬雷射通常生成波長為488 nm或514 nm的雷射光束。Nd:YAG雷射通常生成波長為1064 nm的雷射光束。 Er:Nd-YAG雷射通常生成波長為2940 nm的雷射光束。光纖雷射通常生成波長為1070 nm的雷射光束。高功率二極體雷射通常生成波長為810 nm~980 nm的雷射光束。 雷射光束的波長較佳為10 nm~1000 μm,更佳為700 nm~1000 μm的範圍內(紅外線,較佳為750 nm~4000 nm的範圍內)、10 nm~400 nm的範圍內(紫外線,較佳為10 nm~380 nm的範圍內)、或400 nm~700 nm的範圍內(可見光線)。亦可同時照射多波長的雷射光束。就容易除去透明堆積物的方面而言,較佳為700 nm~1000 μm,更佳為750 nm~4000 nm,進而佳為760 nm~2000 nm,進一步佳為785 nm~1600 nm,更進一步佳為1000 nm~1100 nm。
雷射光束的平均能量除以照射面積而求出的平均能量密度只要為可至少除去氣體噴射面的堆積物而不損傷鋁或鋁合金製的分散板的能量密度即可,較佳為1×103 W/m2 ~1×1013 W/m2 ,更佳為1×103 W/m2 ~1×1012 W/m2 ,進而佳為1×103 W/m2 ~1×1011 W/m2 。藉由將雷射光束的平均能量密度設為所述範圍,可至少除去氣體噴射面的堆積物而不損傷鋁或鋁合金製的分散板。
雷射光束的能量可於所述能量密度的範圍內自由設定。雷射光束的平均能量通常以所述平均能量密度限制於所述範圍內的方式選擇,較佳為1 W~10000 W,更佳為5 W~8000 W,進而佳為10 W~5000 W。藉由將雷射光束的平均能量設為所述範圍,可至少除去氣體噴射面的堆積物而不損傷鋁或鋁合金製的分散板。
雷射的光束輪廓可使用高斯光束(Gaussian beam)、平頂光束(top-hat beam)中的任意一個。就可均勻地除去堆積物而不殘留照射痕跡的方面而言,較佳為平頂光束。 雷射光束可為連續波光束亦可為脈衝光束。就熱影響少的方面而言,較佳為脈衝光束。當雷射光束為脈衝光束時,各脈衝的功率隨著其頻率減小而增大。於雷射光束為脈衝光束的情況下,脈衝頻率較佳為1 Hz~5000 kHz,更佳為5 Hz~1000 kHz,進而佳為10 Hz~500 kHz。藉由將雷射光束的脈衝頻率設為所述範圍,可至少除去氣體噴射面的堆積物而不損傷鋁或鋁合金製的分散板。 就處理速度及對基材的損害的方面而言,掃掠速度較佳為0.01 mm/秒~100 mm/秒,更佳為0.1 mm/秒~50 mm/秒,進而佳為1 mm/秒~10 mm/秒。
(步驟(2)) 步驟(2)中,藉由使氣體噴射面以及氣體孔的內部與包含無機酸的清洗液接觸,除去步驟(1)中未完全除去的堆積物。 如上所述般,藉由照射雷射光束,於堆積物的膜上產生出現裂紋等物理變化,清洗液容易浸透。因此,與不進行雷射光束的照射而與清洗液接觸的情況相比,於短時間內完成堆積物的除去。
所述清洗液中所含的無機酸較佳為選自由磷酸、硼酸、鹽酸、硫酸、硝酸以及氫氟酸所組成的群組中的至少一種。更佳為自硫酸、硝酸以及氫氟酸中選擇,進而佳為自硝酸以及氫氟酸中選擇。藉由將清洗液中所含的無機酸設為所述範圍,可至少除去氣體噴射面的堆積物而不損傷鋁或鋁合金製的分散板。 所述無機酸的濃度並無特別限定。 另外,所述清洗液較佳為包含氧化劑。氧化劑例如為過氧化氫、硝酸、濃硫酸等。硝酸為無機酸,亦同時作為氧化劑發揮作用,故特佳。硝酸特佳為濃硝酸。氫氟酸於除去包含二氧化矽的堆積物的方面而言特別有用。 所述清洗液亦可包含水。於所述無機酸為硝酸、鹽酸、稀硫酸或氫氟酸的情況下,清洗液中包含水。 所述清洗液進而亦可包含界面活性劑等添加劑。
例如,藉由將噴淋頭等洗淨對象物浸漬於清洗液中的方法、對洗淨對象物進行噴霧的方法使氣體噴射面以及氣體孔的內部與包含無機酸的清洗液接觸,但較佳為浸漬的方法。
使氣體噴射面以及氣體孔的內部與包含無機酸的清洗液接觸的時間較佳為0.5小時~24小時,更佳為1小時~12小時,進而佳為2小時~12小時。藉由接觸時間為比較短的時間,作為洗淨對象物的具有氣體孔的半導體製造裝置零件的厚度縮減少,故能夠實現所述具有氣體孔的半導體製造裝置零件的長壽命化。
<步驟(3)> 本發明的洗淨方法於所述步驟(1)或所述步驟(2)的中途、或所述各步驟後,亦可包括對所述氣體噴射面照射超音波的步驟(3)。 藉由對所述氣體噴射面照射超音波,可使堆積物的膜進而產生物理缺陷,而進一步縮短所述步驟(2)的處理時間,進一步減少作為洗淨對象物的具有氣體孔的半導體製造裝置零件的厚度縮減,從而能夠實現所述具有氣體孔的半導體製造裝置零件的進一步的長壽命化。
<作用效果> 關於本發明的具有氣體孔的半導體製造裝置零件,首先,對具有氣體孔的半導體製造裝置零件的氣體噴射面照射雷射光束來除去附著於氣體噴射面的表面以及氣體孔的表面附近的堆積物(步驟1),繼而,藉由使氣體噴射面以及氣體孔的內部與包含無機酸的清洗液接觸來除去僅利用雷射光束而無法除去的堆積物(步驟2)。認為於步驟1中,即使無法除去附著於氣體孔深處的堆積物,亦會於堆積物的膜上產生裂紋,或使堆積物自氣體孔的內壁剝離。認為於步驟2中,清洗液自堆積物的膜上所產生的裂紋或堆積物的膜與氣體孔內壁之間所產生的間隙浸透,可於短時間內除去氣體孔內部的堆積物。於步驟2中,雖然產生因分散板的厚度縮減所致的氣體孔直徑的擴大,但時間短,因此氣體孔直徑的擴大率小,與先前相比,氣體孔直徑的分散不會變大。
以下,藉由實施例來更具體地說明本發明。但是,本發明並不限定於後述的實施例,只要不脫離本發明的主旨,則能夠進行各種變形。 [實施例]
[比較例1] (藉由硝酸浸漬進行的洗淨) 準備附著有氟化鋁的鋁合金製噴淋頭。 使所述噴淋頭以氣體孔完全浸濕的方式自電漿接觸面接觸濃硝酸48小時。 其後,藉由純水充分洗淨整個噴淋頭,除去硝酸。 接著,使用真空烘箱將整個噴淋頭進行乾燥。 乾燥後,藉由肉眼觀察噴淋頭的氣體噴射面,結果確認到氣體孔附近的氟化鋁的完全除去,但於氣體噴射面的一部分上發現了氟化鋁的殘留。
(由硝酸浸漬所引起的氣體孔部的直徑變化) 對於藉由硝酸浸漬進行了洗淨的鋁合金製噴淋頭的氣體孔部,於洗淨前及洗淨後使用數位顯微鏡(VHX-900F,基恩士(KEYENCE)公司製造)進行放大觀察,測定洗淨前的氣體孔部的直徑以及洗淨後的氣體孔部的直徑。 另外,對於未附著有氟化鋁的作為新品的鋁製噴淋頭的氣體孔部,使用數位顯微鏡(同上)進行放大觀察,測定未使用的氣體孔部的直徑。 獲得以下的測定值。 硝酸浸漬前的氣體孔部的直徑:972 μm 硝酸浸漬後的氣體孔部的直徑:1018 μm 未使用的氣體孔部的直徑:1016 μm 藉由硝酸浸漬進行洗淨的結果為氣體孔部的直徑變大了2 μm。
[比較例2] (藉由硝酸浸漬進行的洗淨) 對於與比較例1中使用的附著有氟化鋁的鋁合金製噴淋頭不同的附著有氟化鋁的鋁合金製噴淋頭,進行了與比較例1同樣的藉由硝酸浸漬進行的洗淨。與比較例1同樣地,可確認到氣體孔部周邊的氟化鋁的完全除去,但於氣體噴射面的一部分發現了氟化鋁的殘留。
(由硝酸浸漬所引起的氣體孔部的直徑變化) 對於藉由硝酸浸漬進行了洗淨的鋁合金製噴淋頭的氣體孔部,於洗淨前及洗淨後使用數位顯微鏡(同上)進行放大觀察,測定洗淨前的氣體孔部的直徑以及洗淨後的氣體孔部的直徑。 獲得以下的測定值。 硝酸浸漬前的氣體孔部的直徑:965 μm 硝酸浸漬後的氣體孔部的直徑:1022 μm 由於未使用的氣體孔部的直徑為1016 μm,因此藉由硝酸浸漬進行洗淨的結果為氣體孔部的直徑變大了6 μm。
[實施例1] (雷射照射) 對附著有氟化鋁的鋁合金製噴淋頭的電漿接觸面,以光束直徑30 μm、光束掃掠速度5 mm/秒連續掃掠平均功率為200 W、波長為1064 nm的YAG雷射(高斯光束、脈衝振盪、脈衝頻率200 kHz)。 雷射照射後,藉由肉眼觀察雷射照射面,結果遍及雷射照射面整個面確認到氟化鋁的除去。
(由雷射照射所引起的氣體孔部的直徑變化) 對於進行了雷射照射的鋁合金製噴淋頭的氣體孔部,於洗淨前及洗淨後使用數位顯微鏡(同上)進行放大觀察,測定洗淨前的氣體孔部的直徑以及洗淨後的氣體孔部的直徑。 獲得以下的測定值。 雷射照射前的氣體孔部的直徑:994 μm 雷射照射後的氣體孔部的直徑:1006 μm 觀察到藉由雷射照射而氣體孔部的直徑的擴展。其原因在於使氣體孔部變窄的氟化鋁的一部分被除去。
(藉由硝酸浸漬進行的洗淨) 使雷射照射後的噴淋頭以氣體孔完全浸濕的方式自電漿接觸面接觸濃硝酸(40%)3小時。 其後,藉由純水充分洗淨整個噴淋頭,除去硝酸。 接著,使用真空烘箱將整個噴淋頭進行乾燥。 乾燥後,藉由肉眼觀察噴淋頭的電漿接觸面,結果遍及整個面確認到氟化鋁的除去。
(藉由硝酸浸漬除去附著物的確認) 對於藉由硝酸浸漬進行了洗淨的鋁合金製噴淋頭的氣體孔部的剖面,於洗淨前及洗淨後藉由能量分散型螢光X射線裝置進行分析。 其結果,遍及氣體孔部的整個內表面部,觀測到基於氟的波峰的顯著減少。
[實施例2] (雷射照射) 對附著有氟化鋁的鋁合金製噴淋頭的電漿接觸面,以光束直徑6 mm、光束掃掠速度1 mm/秒連續掃掠平均功率為40 W、波長為1064 nm的YAG雷射(平頂光束、脈衝振盪、脈衝頻率10 Hz)。 雷射照射後,藉由肉眼觀察雷射照射面,結果遍及雷射照射面整個面確認到氟化鋁的除去。與實施例1(高斯光束)相比較,雷射處理後的表面的均勻性得到提高。
(由雷射照射所引起的氣體孔部的直徑變化) 對於進行了雷射照射的鋁合金製噴淋頭的氣體孔部,於洗淨前及洗淨後使用數位顯微鏡(同上)進行放大觀察,測定洗淨前的氣體孔部的直徑以及洗淨後的氣體孔部的直徑。 獲得以下的測定值。 雷射照射前的氣體孔部的直徑:979 μm 雷射照射後的氣體孔部的直徑:1007 μm 觀察到藉由雷射照射而氣體孔部的直徑的擴展。其原因在於使氣體孔部變窄的氟化鋁的一部分被除去。
(藉由硝酸浸漬進行的洗淨) 使雷射照射後的噴淋頭以氣體孔完全浸濕的方式自電漿接觸面接觸濃硝酸(40%)12小時。 其後,藉由純水充分洗淨整個噴淋頭,除去硝酸。 接著,使用真空烘箱將整個噴淋頭進行乾燥。 乾燥後,藉由肉眼觀察噴淋頭的電漿接觸面,結果遍及整個面確認到氟化鋁的除去。
(藉由硝酸浸漬除去附著物的確認) 對藉由硝酸浸漬進行了洗淨的鋁合金製噴淋頭的氣體孔部的剖面,於洗淨前及洗淨後藉由能量分散型螢光X射線裝置進行分析。 其結果,遍及氣體孔部的整個內表面部,觀測到基於氟的波峰的顯著減少。
[實施例3] (雷射照射) 對附著有氟化鋁的鋁合金製噴淋頭的電漿接觸面,以光束直徑6 mm、光束掃掠速度5 mm/秒連續掃掠平均功率為40 W、波長為1064 nm的YAG雷射(平頂光束、脈衝振盪、脈衝頻率10 Hz)。 雷射照射後,藉由肉眼觀察雷射照射面,結果遍及雷射照射面整個面確認到氟化鋁的除去。
與實施例1(高斯光束)相比較,雷射處理後的表面的均勻性得到提高。 (由雷射照射所引起的氣體孔部的直徑變化) 對於進行了雷射照射的鋁合金製噴淋頭的氣體孔部,於洗淨前及洗淨後使用數位顯微鏡(同上)進行放大觀察,測定洗淨前的氣體孔部的直徑以及洗淨後的氣體孔部的直徑。 獲得以下的測定值。 雷射照射前的氣體孔部的直徑:978 μm 雷射照射後的氣體孔部的直徑:1009 μm 觀察到藉由雷射照射而氣體孔部的直徑的擴展。其原因在於使氣體孔部變窄的氟化鋁的一部分被除去。
(藉由硝酸浸漬進行的洗淨) 使雷射照射後的噴淋頭以氣體孔完全浸濕的方式自電漿接觸面接觸濃硝酸(40%)12小時。 其後,藉由純水充分洗淨整個噴淋頭,除去硝酸。 接著,使用真空烘箱將整個噴淋頭進行乾燥。 乾燥後,藉由肉眼觀察噴淋頭的電漿接觸面,結果遍及整個面確認到氟化鋁的除去。
(藉由硝酸浸漬除去附著物的確認) 對藉由硝酸浸漬進行了洗淨的鋁合金製噴淋頭的氣體孔部的剖面,於洗淨前及洗淨後藉由能量分散型螢光X射線裝置進行分析。 其結果,遍及氣體孔部的整個內表面部,觀測到基於氟的波峰的顯著減少。
[實施例4] (雷射照射) 對附著有氟化鋁的鋁合金製噴淋頭的電漿接觸面,以光束直徑6 mm、光束掃掠速度8 mm/秒連續掃掠平均功率為40 W、波長為1064 nm的YAG雷射(平頂光束、脈衝振盪、脈衝頻率10 Hz)。 雷射照射後,藉由肉眼觀察雷射照射面,結果遍及雷射照射面整個面確認到氟化鋁的除去。與實施例1(高斯光束)相比較,雷射處理後的表面的均勻性得到提高。
(由雷射照射所引起的氣體孔部的直徑變化) 對於進行了雷射照射的鋁合金製噴淋頭的氣體孔部,於洗淨前及洗淨後使用數位顯微鏡(同上)進行放大觀察,測定洗淨前的氣體孔部的直徑以及洗淨後的氣體孔部的直徑。 獲得以下的測定值。 雷射照射前的氣體孔部的直徑:976 μm 雷射照射後的氣體孔部的直徑:1011 μm 觀察到藉由雷射照射而氣體孔部的直徑的擴展。其原因在於使氣體孔部變窄的氟化鋁的一部分被除去。
(藉由硝酸浸漬進行的洗淨) 使雷射照射後的噴淋頭以氣體孔完全浸濕的方式自電漿接觸面接觸濃硝酸(40%)12小時。 其後,藉由純水充分洗淨整個噴淋頭,除去硝酸。 接著,使用真空烘箱將整個噴淋頭進行乾燥。 乾燥後,藉由肉眼觀察噴淋頭的電漿接觸面,結果遍及整個面確認到氟化鋁的除去。
(藉由硝酸浸漬除去附著物的確認) 對藉由硝酸浸漬進行了洗淨的鋁合金製噴淋頭的氣體孔部的剖面,於洗淨前及洗淨後藉由能量分散型螢光X射線裝置進行分析。 其結果,遍及氣體孔部的整個內表面部,觀測到基於氟的波峰的顯著減少。
[比較例3] (藉由硝酸浸漬進行的洗淨) 準備附著有氟化鋁的鋁合金製噴淋頭。 使所述噴淋頭以氣體孔完全浸濕的方式自氣體噴射面接觸濃硝酸3小時。 其後,藉由純水充分洗淨整個噴淋頭,除去硝酸。 接著,使用真空烘箱將整個噴淋頭進行乾燥。 乾燥後,藉由肉眼觀察噴淋頭的氣體噴射面以及氣體孔部的內表面,結果發現了氟化鋁的殘留。
[結果的說明] 比較例1及比較例2中,可除去附著於噴淋頭的氣體孔部的內表面以及其周邊的氟化鋁,可解決氣體孔的狹窄或堵塞,但藉由硝酸浸漬,氣體孔部的直徑擴大。另外,氣體噴射面的氟化鋁的除去不充分。 比較例3中,附著於噴淋頭的電漿接觸面以及氣體孔部的內表面的氟化鋁的除去不充分。 與比較例1以及比較例2相比,實施例1可於短時間內除去附著於噴淋頭的電漿接觸面以及氣體孔部的內表面的氟化鋁,能夠解決氣體孔的狹窄或堵塞。另外,於洗淨前後氣體孔部的直徑幾乎未發生變化。 根據比較例1以及比較例2的結果可知,使用硝酸進行洗淨前的氣體孔部直徑越小,藉由洗淨操作而洗淨後的氣體孔部直徑越大。其原因在於,為了完全除去氟化鋁,需要將噴淋頭長時間浸漬於硝酸中,於浸漬過程中噴淋頭的氟化鋁未被覆部藉由硝酸而蝕刻溶解。
根據該些結果可知,藉由於雷射照射後利用洗淨液進行洗淨,可於氣體孔部直徑不會變大的情況下完全除去噴淋頭的氣體噴射面以及氣體孔部的內表面的附著物。 [產業上的可利用性]
根據本發明的洗淨方法,可於更短的時間內進行具有氣體孔的半導體製造裝置零件的洗淨,而且,與先前相比,可實現所述具有氣體孔的半導體製造裝置零件的長壽命化,因此能夠以更低的成本進行半導體晶圓的生產。
2:成膜裝置 4:處理容器 6:噴淋頭 7:噴淋頭的頂板(頂板) 8:分散板 9、57:螺栓 10:氣體孔 10A:原料氣體孔 10C:第二輔助氣體孔 12:搬入搬出口 14:閘閥 16:處理容器的底部 18:排氣落入空間 20:開口 22:圓筒劃分壁 24:圓筒劃分壁的底部 26:支柱 28:載置台 30:排氣口 32:真空排氣系統 34:排氣管 36:加熱單元 38:供電線 40:銷插通孔 42:上推銷 44:上推環 46:臂部 48:出沒桿 50:致動器 52:波紋管 54:頂板 55、58:密封構件 56:噴淋頭本體 60:第一擴散室 62:第二擴散室 64:劃分板 66A:處理氣體導入口 66B:輔助氣體導入口 70:除去對象膜 AA:處理氣體 BB:輔助氣體 CC:排氣 S:處理空間 W:基板(晶圓)
圖1是表示包括成為本發明的洗淨方法的實施對象的噴淋頭的成膜裝置的結構圖。
2:成膜裝置
4:處理容器
6:噴淋頭
7:噴淋頭的頂板(頂板)
8:分散板
9、57:螺栓
10:氣體孔
10A:原料氣體孔
10C:第二輔助氣體孔
12:搬入搬出口
14:閘閥
16:處理容器的底部
18:排氣落入空間
20:開口
22:圓筒劃分壁
24:圓筒劃分壁的底部
26:支柱
28:載置台
30:排氣口
32:真空排氣系統
34:排氣管
36:加熱單元
38:供電線
40:銷插通孔
42:上推銷
44:上推環
46:臂部
48:出沒桿
50:致動器
52:波紋管
54:頂板
55、58:密封構件
56:噴淋頭本體
60:第一擴散室
62:第二擴散室
64:劃分板
66A:處理氣體導入口
66B:輔助氣體導入口
70:除去對象膜
AA:處理氣體
BB:輔助氣體
CC:排氣
S:處理空間
W:基板(晶圓)

Claims (15)

  1. 一種具有氣體孔的半導體製造裝置零件的洗淨方法,所述具有氣體孔的半導體製造裝置零件於對半導體晶圓進行處理的單片式半導體製造裝置中使用,所述半導體製造裝置零件附著有緻密的堆積物,所述具有氣體孔的半導體製造裝置零件的洗淨方法中,所述具有氣體孔的半導體製造裝置零件包含鋁或鋁合金,且包括具有多個氣體孔的分散板,所述具有氣體孔的半導體製造裝置零件的洗淨方法包括:利用雷射光束掃描所述分散板的面向晶圓之側的表面即氣體噴射面,將附著於所述氣體噴射面的表面以及所述氣體孔的表面附近的所述堆積物去除,並使附著於所述氣體孔深處的所述堆積物的膜上產生裂紋、或使所述堆積物的膜自所述氣體孔的內壁剝離的步驟(1);以及使所述氣體噴射面以及所述氣體孔的內部與包含無機酸的清洗液接觸,以使清洗液自所述堆積物的膜上所產生的裂紋或所述堆積物的膜與所述氣體孔的內壁之間所產生的間隙浸透的步驟(2),所述雷射光束為紅外線,所述雷射光束的平均能量密度為1×103W/m2~1×1011W/m2
  2. 如請求項1所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述雷射光束的平均能量為10W~5000W。
  3. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述雷射光束為脈衝光束。
  4. 如請求項3所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述脈衝光束的脈衝頻率為10Hz~500kHz。
  5. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述雷射光束藉由選自由CO2雷射、釔鋁石榴石雷射、Nd:釔鋁石榴石雷射、Er:Nd-釔鋁石榴石雷射、光纖雷射以及高功率二極體雷射所組成的群組中的至少一者而生成。
  6. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述雷射光束的波長為700nm~1000μm。
  7. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述雷射光束的掃掠速度為0.01mm/秒~100mm/秒。
  8. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述無機酸包含選自由硝酸、鹽酸、硫酸以及氫氟酸所組成的群組中的至少一種。
  9. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述清洗液包含氧化劑。
  10. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中於所述步驟(2)中,將所述具 有氣體孔的半導體製造裝置零件浸漬於所述清洗液中。
  11. 如請求項10所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中將所述具有氣體孔的半導體製造裝置零件於所述清洗液中浸漬0.5小時~24小時。
  12. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中堆積物附著於所述分散板的所述氣體噴射面以及所述氣體孔,所述堆積物包含蝕刻氣體與鋁的反應生成物。
  13. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中堆積物附著於所述分散板的所述氣體噴射面以及所述氣體孔,所述堆積物包含源自成膜氣體的化合物。
  14. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中於所述步驟(1)與所述步驟(2)之間包括對所述氣體噴射面照射超音波的步驟(3)。
  15. 如請求項1或請求項2所述的具有氣體孔的半導體製造裝置零件的洗淨方法,其中所述具有氣體孔的半導體製造裝置零件為噴淋頭。
TW109129361A 2019-08-28 2020-08-27 具有氣體孔的半導體製造裝置零件的洗淨方法 TWI809303B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-155485 2019-08-28
JP2019155485 2019-08-28

Publications (2)

Publication Number Publication Date
TW202108254A TW202108254A (zh) 2021-03-01
TWI809303B true TWI809303B (zh) 2023-07-21

Family

ID=74685044

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129361A TWI809303B (zh) 2019-08-28 2020-08-27 具有氣體孔的半導體製造裝置零件的洗淨方法

Country Status (5)

Country Link
US (1) US11753714B2 (zh)
EP (1) EP4023349A4 (zh)
JP (2) JP6859496B1 (zh)
TW (1) TWI809303B (zh)
WO (1) WO2021039838A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102278081B1 (ko) * 2019-06-27 2021-07-19 세메스 주식회사 기판 처리 장치 및 방법
EP4023349A4 (en) * 2019-08-28 2022-10-19 Shinryo Corporation WASHING PROCESS FOR COMPONENT OF SEMICONDUCTOR MANUFACTURING APPARATUS WITH GAS BORES
JP7499678B2 (ja) 2020-11-02 2024-06-14 東京応化工業株式会社 半導体製造用プロセスチャンバのコンポーネントの洗浄用組成物及び洗浄方法
CN113215583B (zh) * 2021-05-11 2022-12-09 爱极宝电子工业设备(广州)有限公司 一种铝及其合金器件的清洁及再生方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009054997A (ja) * 2007-07-27 2009-03-12 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
JP2011184774A (ja) * 2010-03-10 2011-09-22 Fujifilm Corp ガス供給電極およびガス供給電極の洗浄方法
JP2012064773A (ja) * 2010-09-16 2012-03-29 Hitachi High-Technologies Corp プラズマ処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4456769B2 (ja) * 2001-02-02 2010-04-28 川崎マイクロエレクトロニクス株式会社 フロロカーボン系プラズマ生成用シリコン製電極の洗浄方法およびこれを利用した半導体装置の製造方法
US20020185554A1 (en) * 2001-06-07 2002-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for treating a gas dispensing device and device treated
JP4982931B2 (ja) * 2001-08-24 2012-07-25 東京エレクトロン株式会社 半導体処理装置及びこの構成部品の洗浄方法
US7045020B2 (en) * 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber
JP2005167087A (ja) * 2003-12-04 2005-06-23 Tokyo Electron Ltd クリーニング方法及び半導体製造装置
JP4231417B2 (ja) 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
US20080092806A1 (en) 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US8673083B2 (en) * 2009-04-22 2014-03-18 Inotera Memories, Inc. Method of cleaning showerhead
JP2019155485A (ja) 2018-03-07 2019-09-19 パナソニックIpマネジメント株式会社 電動工具
EP4023349A4 (en) * 2019-08-28 2022-10-19 Shinryo Corporation WASHING PROCESS FOR COMPONENT OF SEMICONDUCTOR MANUFACTURING APPARATUS WITH GAS BORES

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009054997A (ja) * 2007-07-27 2009-03-12 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
JP2011184774A (ja) * 2010-03-10 2011-09-22 Fujifilm Corp ガス供給電極およびガス供給電極の洗浄方法
JP2012064773A (ja) * 2010-09-16 2012-03-29 Hitachi High-Technologies Corp プラズマ処理装置

Also Published As

Publication number Publication date
JPWO2021039838A1 (ja) 2021-09-27
US11753714B2 (en) 2023-09-12
EP4023349A1 (en) 2022-07-06
EP4023349A4 (en) 2022-10-19
US20220136101A1 (en) 2022-05-05
JP2021106278A (ja) 2021-07-26
JP6859496B1 (ja) 2021-04-14
WO2021039838A1 (ja) 2021-03-04
TW202108254A (zh) 2021-03-01

Similar Documents

Publication Publication Date Title
TWI809303B (zh) 具有氣體孔的半導體製造裝置零件的洗淨方法
TWI752925B (zh) 表面塗佈處理
JP5460578B2 (ja) プラズマエッチチャンバで使用される耐食性を強化した石英
CN105195487B (zh) 一种石英玻璃清洗方法
TWI411015B (zh) A plasma processing apparatus, a plasma apparatus for performing the cleaning method thereof, and a memory medium for storing a program for executing the cleaning method
TWI628150B (zh) Glass processing method
US20150351251A1 (en) Desmearing method and desmearing device
JP2003243358A (ja) 洗浄方法および半導体装置の製造方法
KR20040019278A (ko) 막의 형성 방법 및 해당 수법에 의해 제조된 반도체 장치, 전기 회로, 표시체 모듈, 컬러 필터 및 발광 소자
KR19990071626A (ko) 사파이어플라즈마애셔에서기판으로부터잔류물을제거하는방법및그장치
CN101154558A (zh) 刻蚀设备组件的清洗方法
JP2003126795A (ja) セラミック絶縁体の洗浄方法
KR20180017803A (ko) 반도체 장비 부품의 세정 장치 및 방법
JP5271456B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2007502032A (ja) 過飽和の洗浄溶液を使用したメガソニック洗浄
US7270724B2 (en) Scanning plasma reactor
JP2005167087A (ja) クリーニング方法及び半導体製造装置
JP4890668B2 (ja) 半導体熱処理用反応装置の石英ガラス製蓋体およびその製造方法
JP2007036170A (ja) 太陽電池用シリコン基板の低反射率加工方法及び太陽電池用シリコン基板
JP4377285B2 (ja) 基板処理方法および基板処理装置
US10766824B2 (en) Methods of minimizing particles on wafer from plasma spray coatings
KR101766854B1 (ko) 장비 내부 세정 장치 및 세정 방법
KR20200067583A (ko) 샤워헤드 홀의 내부 파티클 발생을 감소시키는 방법 및 화학적 폴리싱 조성물
JP2005142367A (ja) 膜剥離方法
JP7254437B2 (ja) シリコン部品を調整するための方法