TWI809116B - 產生完全自對準通孔之方法 - Google Patents

產生完全自對準通孔之方法 Download PDF

Info

Publication number
TWI809116B
TWI809116B TW108119155A TW108119155A TWI809116B TW I809116 B TWI809116 B TW I809116B TW 108119155 A TW108119155 A TW 108119155A TW 108119155 A TW108119155 A TW 108119155A TW I809116 B TWI809116 B TW I809116B
Authority
TW
Taiwan
Prior art keywords
insulating layer
conductive line
recessed
line segments
layer
Prior art date
Application number
TW108119155A
Other languages
English (en)
Other versions
TW202013609A (zh
Inventor
安瑞塔B 穆立克
尼汀K 英格爾
王熹坤
里賈納 弗里德
尤戴 米查
和湧 黃
Original Assignee
美商微材料有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商微材料有限責任公司 filed Critical 美商微材料有限責任公司
Publication of TW202013609A publication Critical patent/TW202013609A/zh
Application granted granted Critical
Publication of TWI809116B publication Critical patent/TWI809116B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electronic Switches (AREA)
  • Cephalosporin Compounds (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

描述一種提供完全自對準的通孔的設備及方法。本揭示的一些實施例係提供具有可以相較於導電線段來選擇性移除的襯墊的電子裝置。可以藉由使用鹼基(例如,氫氧化鈉)及過氧化氫中之一或更多者來選擇性移除襯墊。

Description

產生完全自對準通孔之方法
本揭示的實施例係關於電子裝置製造領域,且具體而言,係關於積體電路(IC)製造。更特定言之,本揭示的實施例係針對跳過一層的通孔或接點的方法。
一般而言,積體電路(IC)係指稱一組電子裝置(例如,在半導體材料(通常是矽)的小晶片上形成的電晶體)。通常,IC包括具有金屬線段的一或更多個金屬化層,以將IC的電子裝置彼此連接並連接到外部連接。通常,層間介電材料層係放置於IC的金屬化層之間,以用於絕緣。
隨著IC的尺寸降低,則金屬線段之間的間隔降低。通常,為了製造互連結構,使用平面處理,而涉及將一個金屬化層對準並連接到另一金屬化層。
通常,金屬化層中的金屬線段的圖案化係獨立於金屬化層上方的通孔而執行。然而,習知通孔製造技術無法提供完全的通孔自對準。在習知技術中,經形成以將上金屬化層中的線段連接到下金屬化層的通孔通常並未對準下金屬化層中的線段。通孔線段的未對準增加了通孔電阻,並導致可能連到錯誤的金屬線段的短路。通孔線段的未對準造成裝置破損,降低產量,並增加製造成本。此外,使用襯墊的習知方法並未提供相對於金屬而選擇性移除襯墊的方法。因此,需要一種移除襯墊的新方法。
描述一種提供完全自對準的通孔的設備及方法。在一個實施例中,描述一種用於形成自對準通孔的方法。提供其上具有第一絕緣層的基板。第一絕緣層具有頂表面以及沿著第一方向形成的複數個溝道。複數個溝道具有沿著第一方向延伸的凹陷的導電線段,並且在第一絕緣層的頂表面下方具有第一導電表面。在凹陷的第一導電線段上形成包含鉭的襯墊。在凹陷的第一導電線段上形成至少一個支柱。至少一個支柱係垂直於第一絕緣層的頂表面而延伸。在第一支柱周圍與第一絕緣層的頂表面上沉積第二絕緣層。移除至少一個支柱,以在第二絕緣層中形成至少一個開口,而在凹陷的第一導電線段上留下至少一個支柱。使用鹼基及過氧化氫中之一或更多者來從凹陷的第一導電線段移除襯墊。
一或更多個實施例係關於提供完全自對準通孔的方法。提供其上具有第一絕緣層的基板。第一絕緣層具有頂表面以及沿著第一方向形成的複數個溝道。複數個溝道具有沿著第一方向延伸的凹陷的第一導電線段,並且在第一絕緣層的頂表面下方具有第一導電表面。第一絕緣層包含超低ĸ值,而凹陷的第一導電線段包含銅或鈷。在凹陷的第一導電線段上形成包含鉭的襯墊。在凹陷的第一導電線段上形成包含鎢的金屬膜。從凹陷的第一導電線段上的金屬膜生長包含氧化鎢的至少一個支柱。至少一個支柱係垂直於第一絕緣層的頂表面而延伸。第二絕緣層係沉積於第一絕緣層上,圍繞至少一個支柱沉積,並沉積於至少一個支柱的頂部上,以形成第二絕緣層的覆蓋層。將第二絕緣層平坦化,以移除第二絕緣層的覆蓋層,並暴露至少一個支柱的頂部。選擇性移除至少一個支柱,以在第二絕緣層中形成至少一個開口,而在凹陷的第一導電線段上留下至少一個支柱。使用約1:1至約5:1的比率的鹼基及過氧化氫來從凹陷的第一導電線段移除襯墊。鹼基係選自氫氧化鈉、氫氧化鉀、氫氧化鋁、氫氧化鋰、氫氧化銣、氫氧化銫、吡啶、氫氧化銨、三甲胺(TMA)、三甲胺(TEA)或氫氧化四甲銨(TMAH)中之一或更多者。透過至少一個開口來將第三絕緣層沉積到凹陷的導電線段上。第三絕緣係相對於第二絕緣層進行選擇性蝕刻,以形成朝向第一導電線段的至少一個通孔開口。第二導電材料係沉積於至少一個開口中,以形成通孔與第二導電線段。第一通孔係將凹陷的第一導電線段連接至第二導電線段。
在描述本揭示的幾個示例性實施例之前,應理解,本揭示並不限於在以下描述中闡述的構造或處理步驟的細節。本揭示能夠具有其他實施例,並能夠以各種方式實踐或執行。
如本文所使用的「基板」係指稱在製造處理期間在其上執行膜處理的基板上所形成的任何基板或材料表面。舉例而言,取決於應用,可以在其上執行處理的基板表面包括材料,例如矽、氧化矽、應變矽、絕緣體矽(SOI)、摻碳氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及任何其他材料,例如金屬、金屬氮化物、金屬合金及其他導電材料。基板包括但不限於半導體晶圓。基板可以暴露於預加工處理,以研磨、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了直接在基板本身的表面上的膜處理之外,在本揭示中,所揭示的任何膜處理步驟亦可在基板上所形成的底層上執行,如下面更詳細揭示,而術語「基板表面」意欲包括如上下文所指示的此類底層。因此,舉例而言,當膜/層或部分膜/層已沉積至基板表面時,新沉積的膜/層的暴露表面變成基板表面。
如在此說明書及隨附申請專利範圍中所使用的術語「前驅物」、「反應物」、「活性氣體」及類似物可互換使用,用以指稱可以與基板表面反應的任何氣體物質。
描述一種提供完全自對準的通孔的設備及方法。在一個實施例中,描述一種用於形成自對準通孔的方法。提供其上具有第一絕緣層的基板。第一絕緣層具有頂表面以及沿著第一方向形成的複數個溝道。複數個溝道具有沿著第一方向延伸的凹陷的導電線段,並且在第一絕緣層的頂表面下方具有第一導電表面。在凹陷的第一導電線段上形成包含鉭的襯墊。在凹陷的第一導電線段上形成至少一個支柱。至少一個支柱係垂直於第一絕緣層的頂表面而延伸。在第一支柱周圍與第一絕緣層的頂表面上沉積第二絕緣層。移除至少一個支柱,以在第二絕緣層中形成至少一個開口,而在凹陷的第一導電線段上留下至少一個支柱。使用鹼基及過氧化氫中之一或更多者來從凹陷的第一導電線段移除襯墊。
在一或更多個實施例,沉積第二絕緣層之步驟包含以下步驟:在第一絕緣層上,在至少一個支柱周圍,以及在至少一個支柱的頂部上沉積第二絕緣材料,以形成第二絕緣層的覆蓋層;以及將第二絕緣層平坦化,以移除第二絕緣層的覆蓋層,並暴露至少一個支柱的頂部。
在一個實施例中,橋接通孔係沿著第一方向而自對準到第二導電線段中之一者。
在一個實施例中,完全自對準的通孔係為沿著下金屬化層與上金屬化層中的導電線段的至少二個方向自對準的通孔。在一個實施例中,完全自對準的通孔係由一個方向上的硬遮罩與另一方向上的底下的絕緣層定義,如下面進一步詳細描述。
相較於習知技術,一些實施例有利地提供完全自對準的通孔,而不會造成介電材料的損傷,並且不需要高深寬比的介電蝕刻。在一些實施例中,相較於習知通孔,完全自對準的通孔提供更低的通孔電阻與電容優勢。自對準通孔的一些實施例提供金屬化層的通孔與導電線段之間基本上沒有錯誤的完全對準,此有利地增加裝置產量並降低裝置成本。
在以下描述中,闡述許多具體細節(例如,具體材料、化學成分、元件的尺寸等),以提供對本揭示的實施例中之一或更多者的透徹理解。然而,應理解,該領域具有通常知識者可以在沒有此等具體細節的情況下實踐本揭示的一或更多個實施例。在其他情況下,並未非常詳細描述半導體製造處理、技術、材料、裝備等,以避免不必要地模糊本揭示。利用所包括的描述,該領域具有通常知識者將能夠實現適當的功能,而不需過多的實驗。
儘管在隨附圖式中描述及圖示本揭示的某些示例性實施例,但是應理解,此等實施例僅為說明性而非對本揭示的限制,而因為該領域具有通常知識者可以進行修改,所以本揭示並不限於所示的具體配置及佈置。
參照整個本說明書的「一個實施例」、「另一實施例」或「實施例」意指結合實施例描述的特定特徵、結構或特性係包括在本揭示的至少一個實施例中。因此,整個本說明書的各處中出現的短語「在一個實施例中」或「在實施例中」不一定都指稱本揭示的相同實施例。此外,在一或更多個實施例中,特定特徵、結構或特性可以利用任何合適的方式組合。
第1A圖圖示根據一個實施例的提供完全自對準的通孔的電子裝置結構的橫截面圖100。第1B圖係為第1A圖所示的電子裝置的頂視圖110,而第1C圖係為第1A圖所示的電子裝置的透視圖120。下金屬化層(Mx)包含在基板101的絕緣層102上的沿著X軸(方向)121延伸的一組導電線段103。第1A圖至第1C圖的X軸係垂直於圖式頁面的平面而延伸。如第1A圖至第1C圖所示,X軸(方向)121係以角度123與Y軸(方向)122交叉。在一個實施例中,角度123係為約90度。在另一實施例中,角度123係為並非90度角的角度。絕緣層102包含溝道104。導電線段103係沉積於溝道104中。
在實施例中,基板101包含半導體材料(例如,矽(Si)、碳(C)、鍺(Ge)、矽化鍺(SiGe)、砷化鎵(GaAs)、磷化銦(InP)、砷化鎵銦(InGaAs)、砷化鋁銦(InAlAs)、其他半導體材料或其任何組合)。在實施例中,基板101係為包括塊狀下基板、中間絕緣層及頂部單晶層的絕緣體上的半導體(SOI)基板。頂部單晶層可以包含上面列出的任何材料(例如,矽)。在各種實施例中,基板101可以是例如有機、陶瓷、玻璃或半導體基板。儘管此處描述可以形成基板101的材料的一些實例,但是能夠作為被動式及主動式電子裝置(例如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電裝置或任何其他電子裝置)的任何材料亦可以落入本揭示的精神及範圍。
在一個實施例中,基板101包括用於積體電路的一或更多個金屬化互連層。在至少一些實施例中,基板101包括經配置以連接金屬化層的互連(例如,通孔)。在至少一些實施例中,基板101包括電子裝置(例如,電晶體、記憶體、電容器、電阻器、光電裝置、開關),以及由電絕緣層分隔的任何其他主動式及被動式電子裝置(例如,層間介電質、溝道絕緣層或電子裝置製造領域中具有通常知識者已知的任何其他絕緣層)。在一個實施例中,基板101包括用於限制晶格錯位及缺陷的基板101上方的一或更多個層。
絕緣層102可以是適合使相鄰裝置絕緣並防止洩漏的任何材料。在一個實施例中,電絕緣層102係為氧化物層(例如,二氧化矽),或是由電子裝置設計確定的任何其他電絕緣層。在一個實施例中,絕緣層102包含層間介電質(ILD)。在一個實施例中,絕緣層102係為低k介電質,其包括但不限於例如二氧化矽、氧化矽、碳摻雜氧化物(「CDO」)(例如,碳摻雜的二氧化矽)、多孔二氧化矽(SiO2 )、氮化矽(SiN)或其任何組合的材料。
在一個實施例中,絕緣層102包括k值小於5的介電材料。在一個實施例中,絕緣層102包括k值小於2的介電材料。在至少一些實施例中,絕緣層102包括氧化物、碳摻雜氧化物、多孔二氧化矽、碳化物、碳氧化物、氮化物、氮氧化物、氧碳氮化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)或其任何組合、藉由電子裝置設計確定的其他電絕緣層,或其任何組合。在至少一些實施例中,絕緣層102可以包括聚醯亞胺、環氧樹脂、可光定義的材料(例如,苯并環丁烯(BCB)及WPR系列材料)或旋塗玻璃。
在一個實施例中,絕緣層102係為低k層間介電質,以將一個金屬線段與基板101上的其他金屬線段隔離。在一個實施例中,隔離層102的厚度係在約10奈米(nm)至約2微米(μm)的近似範圍內。
在實施例中,使用沉積技術中之一者來沉積絕緣層102,例如但不限於化學氣相沉積(「CVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋塗或微電子裝置製造領域中具有通常知識者已知的其他絕緣沉積技術。
在一個實施例中,包含導電線段103(亦即,金屬線段)的下金屬化層Mx係為電子裝置的後端金屬化的一部分。在一個實施例中,使用硬遮罩對絕緣層102進行圖案化及蝕刻,以使用微電子裝置製造領域中的通常知識者已知的一或更多種圖案化及蝕刻技術來形成溝道104。在一個實施例中,絕緣層102中的溝道104的尺寸係由稍後在處理中形成的導電線段的尺寸確定。
在一個實施例中,形成導電線段103係涉及利用導電材料層來填充溝道104。在一個實施例中,首先將基底層(未圖示)沉積於溝道104的內側壁及底部上,隨後將導電層沉積於基底層上。在一個實施例中,基底層包括沉積於導電阻隔層(未圖示)上的導電種子層(未圖示)。種子層可以包括銅(Cu),而導電阻隔層可以包括鋁(Al)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)及類似金屬。導電阻隔層可以用於防止導電材料從種子層(例如,銅或鈷)擴散到絕緣層102中。此外,導電阻隔層可用於針對種子層(例如,銅)提供黏著。
在一個實施例中,為了形成基底層,將導電阻隔層沉積於溝道104的側壁及底部上,隨後將種子層沉積於導電阻隔層上。在另一實施例中,導電基底層包括直接沉積於溝道104的側壁及底部上的種子層。可以使用半導體製造領域中具有通常知識者已知的任何薄膜沉積技術(例如,濺射、毯覆沉積及類似者)來沉積導電阻隔層與種子層中之每一者。在一個實施例中,導電阻隔層與種子層中之每一者的厚度係在約1nm至約100nm的近似範圍內。在一個實施例中,阻隔層可以是薄介電質,經蝕刻以建立與下面的金屬層的導電性。在一個實施例中,可以完全省略阻隔層,並且可以使用銅線的適當摻雜來形成「自形成阻隔層」。
在一個實施例中,藉由電鍍處理將導電層(例如,銅或鈷)沉積到銅的基底層的種子層上。在一個實施例中,使用微電子裝置製造領域中的具有通常知識者已知的鑲嵌處理將導電層沉積到溝道104中。在一個實施例中,使用選擇性沉積技術(例如但不限於電鍍、電解、CVD、PVD、MBE、MOCVD、ALD、旋塗或其他微電子裝置製造領域中的通常知識者已知的其他沉積技術)將導電層沉積到溝道104中的種子層上。
在一個實施例中,導電線段103的導電層的材料的選擇係確定種子層的材料的選擇。舉例而言,若導電線段103的材料包括銅,則種子層的材料亦包括銅。在一個實施例中,導電線段103包括金屬(例如,銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pd)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)或其任何組合)。
在一個實施例中,使用微電子裝置製造領域中具有通常知識者已知的化學機械拋光(「CMP」)技術來移除導電層與基底層的部分,以使導電線段103的頂部與絕緣層102的頂部變得平坦。化學機械拋光(CMP)係為抗犧牲回蝕處理,而可以使用研磨漿料與化學蝕刻劑以及拋光輪來快速移除膜層。
在一個非限制性實例中,導電線段103的厚度(沿著第1A圖至第1C圖的z軸量測)係在約15nm至約1000nm的近似範圍內。在一個非限制性實例中,導電線段103的厚度係為約20nm至約200nm。在一個非限制性實例中,導電線段103的寬度(沿著第1A圖至第1C圖的y軸量測)係在約5nm至約500nm的近似範圍內。在一個非限制性實例中,導電線段103之間的間隔(節距)係為約2nm至約500nm。在更具體的非限制性實例中,導電線段103之間的間隔(節距)係為約5nm至約50nm。
在實施例中,下金屬化層Mx經配置以連接到其他金屬化層(未圖示)。在實施例中,金屬化層Mx經配置以提供連到電子裝置(例如,電晶體、記憶體、電容器、電阻器、光電裝置、開關,及由電絕緣層(例如,層間介電質、溝道絕緣層或電子裝置製造領域中具有通常知識者已知的任何其他絕緣層)分隔的任何其他主動式及被動式電子裝置)的電接觸。
在一或更多個實施例中,導電線段103包含銅或鈷中之一或更多者。在一或更多個實施例中,導電線段103包含銅。在一或更多個實施例中,導電線段103包含鈷。
第2A圖係為根據一個實施例的在將導電線段103凹陷之後的類似於第1A圖的橫截面圖100的視圖200。第2B圖係為第2A圖所示的電子裝置的頂視圖210,而第2C圖係為第2A圖所示的電子裝置的透視圖220。將導電線段103凹陷到預定深度,以形成凹陷的導電線段201。如第2A圖至第2C圖所示,在絕緣層102中形成溝道205。每一溝道205具有作為絕緣層102的部分的側壁204以及作為凹陷的導電線段201的頂表面203的底部。
在一個實施例中,溝道205的深度係為約10nm至約500nm。在一個實施例中,溝道205的深度係為凹陷的導電線段201的厚度的約10%至約100%。在一個實施例中,使用濕式蝕刻、乾式蝕刻或電子裝置製造領域具有通常知識者已知的技術的組合中之一或更多者來使導電線段103凹陷。
第3A圖係為根據一個實施例的在襯墊301沉積於凹陷的導電線段201之後的類似於第2A圖的視圖300。在一些實施例中,襯墊301係沉積於溝道205的側壁204上,以及沉積於凹陷的導電線段201的頂表面203上。
在一個實施例中,襯墊301係沉積以保護凹陷的導電線段201不會在稍後的處理中(例如,在鎢沉積或其他處理期間)改變特性。在一個實施例中,襯墊301係為導電襯墊。在另一實施例中,襯墊301係為非導電襯墊。在一個實施例中,襯墊301包括氮化鈦(TiN)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)或其任何組合。在一或更多個實施例中,襯墊可以進一步包含釕(Ru)或鈷(Co)中之一或更多者。氮化鉭(TaN)係為膜厚度大於10Å的銅阻隔層,其中膜是連續的。儘管氮化鉭(TaN)可以導電,但在摻入足夠少的量時,TaN可以作為介電襯墊材料。在實施例中,襯墊301係沉積至約0.5nm至約10nm的厚度。
在實施例中,使用原子層沉積(ALD)技術來沉積襯墊301。在實施例中,使用沉積技術(例如但不限於CVD、PVD、MBE、MOCVD、旋塗或微電子裝置製造領域中的通常知識者已知的其他襯墊沉積技術)中之一者來沉積襯墊301。在一個實施例中,可以使用本文所述的乾式及濕式蝕刻技術中之一或更多者來選擇性移除襯墊301。
第4A圖係為在將間隙填充層401沉積於凹陷的導電線段201上的襯墊301上之後的類似於第3A圖的視圖400。第4B圖係為第4A圖所示的電子裝置的頂視圖410,而第4C圖係為第4A圖所示的電子裝置的透視圖420。如第4A圖至第4C圖所示,間隙填充層401係沉積於襯墊301上。在一個實施例中,間隙填充層401係為鎢(W)層或其他間隙填充層,以提供選擇性生長支柱。在一些實施例中,間隙填充層401係為金屬膜或含金屬的膜。合適的金屬膜包括但不限於包括鈷(Co)、鉬(Mo)、鎢(W)、鉭(Ta)、鈦(Ti)、釕(Ru)、銠(Rh)、銅(Cu)、鐵(Fe)、錳(Mn)、釩(V)、鈮(Nb)、鉿(Hf)、鋯(Zr)、釔(Y)、鋁(Al)、錫(Sn))、鉻(Cr)、鑭(La)或其任意組合中之一或更多者的膜。在一些實施例中,種子間隙填充層401包含鎢(W)種子間隙填充層。
在一個實施例中,使用沉積技術(例如但不限於ALD、CVD、PVD、MBE、MOCVD、旋塗或微電子裝置製造領域中的通常知識者已知的其他沉積技術)中之一者來沉積間隙填充層401。
在一些實施例中,間隙填充層401的沉積包括種子間隙填充層(未圖示)的形成。該領域具有通常知識者將理解,種子間隙填充層係為相對薄的材料層,而可以增加間隙填充層401的成核速率(亦即,生長速率)。在一些實施例中,種子間隙填充層的材料係與不同技術所沉積的間隙填充層401相同。在一些實施例中,種子間隙填充層的材料係與間隙填充層401不同。
間隙填充層401的形成可以描述為使用間隙填充材料的塊狀沉積,以在基板的頂部上形成覆蓋層(未圖示),隨後進行平坦化以移除覆蓋層。在一些實施例中,間隙填充層401係藉由選擇性沉積處理來形成,選擇性沉積處理基本上不會形成絕緣層102上的覆蓋層(例如,>5%面積)。
隨後,根據一個實施例,並如第4A圖至第4C圖所示,可以移除種子間隙填充層401的一部分,以暴露絕緣層102的頂部部分。在一個實施例中,使用微電子裝置製造領域中具有通常知識者已知的化學機械平坦化(CMP)技術中之一者來移除種子間隙填充層401的一部分。
第5A圖係為根據一個實施例的在使用種子間隙填充層401形成自對準選擇性生長支柱501之後的類似於第4A圖的視圖500。第5B圖係為第5A圖所示的電子裝置的頂視圖510,而第5C圖係為第5A圖所示的電子裝置的透視圖520。如第5A圖至第5C圖所示,自對準選擇性生長支柱501的陣列具有與該組凹陷的導電線段201相同的圖案。如第5A圖至第5C圖所示,支柱501從凹陷的導電線段201上的襯墊301的頂表面基本垂直地延伸。如第5A圖至第5C圖所示,支柱501沿著與凹陷的導電線段201相同的方向延伸。如第5A圖至第5C圖所示,支柱係藉由間隙502分開。
參照第5A圖至第5C圖,在一個實施例中,從凹陷的導電線段201上的襯墊301上的間隙填充層401選擇性生長支柱501。在一個實施例中,例如藉由氧化、氮化或其他處理來擴展襯墊301上方的間隙填充層401的部分,以生長支柱501。在一或更多個實施例中,間隙填充層401係為金屬膜,而金屬膜係用於藉由氧化、氮化或其他處理中之一或更多者來生長至少一個支柱501。在一個實施例中,藉由暴露於氧化劑或氧化條件而氧化間隙填充層401,以將金屬或含金屬的間隙填充層401轉變成金屬氧化物支柱501。在一個實施例中,支柱501包括上面列出的一或更多種金屬的氧化物。在更具體的實施例中,支柱501包括氧化鎢(例如,WO、WO3 及其他氧化鎢)。
氧化劑可以是任何合適的氧化劑,包括但不限於O2 、O3 、N2 O、H2 O、H2 O2 、CO、CO2 、N2 /Ar、N2 /He、N2 /Ar/He、過氧硫酸銨、有機過氧化劑(例如,間氯過氧苯甲酸與過氧酸(例如,三氟過氧乙酸、2,4-二硝苯甲酸、過氧乙酸、過氧硫酸、過氧碳酸、過氧硼酸及類似者))或其任何組合。在一些實施例中,氧化條件包含熱氧化、電漿增強氧化、遠端電漿氧化、微波及射頻氧化(例如,電感耦接電漿(ICP)、電容耦接電漿(CCP))。
在一個實施例中,取決於例如種子間隙填充層與氧化劑的組成物,在任何合適的溫度下藉由種子間隙填充層的氧化來形成支柱501。在一些實施例中,在約25℃至約800℃的近似範圍的溫度下發生氧化。在一些實施例中,在大於或等於約150℃的溫度下發生氧化。
在一個實施例中,支柱501的高度係在約5埃(Å)至約10微米(μm)的近似範圍內。
第6A圖係為根據一個實施例的在沉積絕緣層601以過度填充支柱5401之間的間隙502之後的類似於第5A圖的視圖600。第6B圖係為第6A圖所示的電子裝置的頂視圖610,而第6C圖係為第6A圖所示的電子裝置的透視圖620。如第6A圖至第6C圖所示,絕緣層601係沉積在支柱501上及支柱501周圍,並通過支柱401之間的絕緣層102的一部分上的間隙502。
在一個實施例中,絕緣層601係為低k間隙填充層。在一個實施例中,絕緣層601係為可流動的氧化矽(FSiOx)層。在至少一些實施例中,絕緣層601係為氧化物層(例如,二氧化矽(SiO2 )),或由電子裝置設計確定的任何其他電絕緣層。在一個實施例中,絕緣層601係為層間介電質(ILD)。在一個實施例中,絕緣層601係為低k介電質,其包括但不限於例如二氧化矽、氧化矽、碳基材料(例如,多孔碳膜)、碳摻雜氧化物(「CDO」)(例如,碳摻雜的二氧化矽)、多孔二氧化矽、多孔碳氫氧化矽(SiOCH)、氮化矽或其任何組合的材料。在一個實施例中,絕緣層601係為k值小於3的介電材料。在更特定實施例中,絕緣層601係為k值在約2.2至約2.7的近似範圍內的介電材料。在一個實施例中,絕緣層601包括k值小於2的介電材料。在一個實施例中,絕緣層601係代表上述關於絕緣層102的絕緣層中之一者。
在一個實施例中,絕緣層601係為低k層間介電質,以將一個金屬線段與其他金屬線段隔離。在一個實施例中,使用沉積技術中之一者來沉積絕緣層601,例如但不限於CVD、旋塗、ALD、PVD、MBE、MOCVD或微電子裝置製造領域的具有通常知識者已知的其他低k絕緣層沉積技術。
第7A圖係為根據一個實施例的在選擇性移除至少一個自對準選擇性生長支柱501以形成開口701之後的類似於第6A圖的視圖700。第7B圖係為第7A圖所示的電子裝置的頂視圖710。如第7A圖至第7B圖所示,相對於絕緣層601、絕緣層102及凹陷的導電線段201,選擇性移除支柱501。在另一實施例中,當襯墊301係為導電襯墊時,襯墊301保持在適當位置,並相對於絕緣層601、絕緣層102及襯墊301選擇性移除至少一個支柱501。如第7A圖至第7B圖所示,在絕緣層601及102中形成開口701。開口701沿著與凹陷的導電線段201相同的軸線延伸。如第7A圖至第7B圖所示,每一開口701的底部係為凹陷的導電線段201的頂表面203。開口701的底部係為襯墊301的頂表面。一般而言,溝道的深寬比係指稱溝道的深度與開口的寬度的比率。在一個實施例中,每一開口701的深寬比係在約1:1至約200:1的近似範圍內。
在一個實施例中,使用電子裝置製造領域中具有通常知識者已知的乾式及濕式蝕刻技術中之一或更多者來選擇性移除支柱501。在一個實施例中,在約80℃的溫度下藉由例如5重量%的氫氧化銨(NH4 OH)水溶液來選擇性濕式蝕刻支柱501。在一個實施例中,將過氧化氫(H2 O2 )加入到5重量%的NH4 OH水溶液,以增加支柱501的蝕刻速率。在一個實施例中,使用氫氟酸(HF)與硝酸(HNO3 )以1:1的比率來對支柱501進行選擇性濕式蝕刻。在一個實施例中,分別使用HF與HNO3 以3:7的比率來對支柱501進行選擇性濕式蝕刻。在一個實施例中,分別使用HF與HNO3 以4:1的比率來對支柱501進行選擇性濕式蝕刻。在一個實施例中,分別使用HF與HNO3 以30%:70%的比率來對支柱501進行選擇性濕式蝕刻。在一個實施例中,分別使用NH4 OH與H2 O2 以1:2的比率來對包括鎢(W)、鈦(Ti)或鈦及鎢二者的支柱501進行選擇性濕式蝕刻。在一個實施例中,使用305克的鐵氰化鉀(K3 Fe(CN)6 )、44.5克的氫氧化鈉(NaOH)及1000毫升的水(H2 O)來對支柱501進行選擇性濕式蝕刻。在一個實施例中,使用稀釋或濃縮的一或更多種化學物質(包括鹽酸(HCl)、硝酸(HNO3 )、硫酸(H2 SO4 )、氟化氫(HF)及過氧化氫(H2 O2 ))來對支柱501進行選擇性濕式蝕刻。在一或更多個實施例中,將烴與HF及HNO3 的溶液、NH4 OH及H2 O2 的溶液、WCl5 、WF6 、氟化鈮(NbF5 )、氯一起使用來對支柱501進行選擇性蝕刻。在一或更多個實施例中,烴可以是單碳(例如,CH4 )或多碳基烴。在一個實施例中,分別使用HF、HNO3 及乙酸(CH3 COOH)以4:4:3的比率來對支柱501進行選擇性濕式蝕刻。在一個實施例中,使用溴三氟甲烷(CBrF3 )反應離子蝕刻(RIE)技術來對支柱501進行選擇性乾式蝕刻。在一個實施例中,使用氯、氟、溴或基於其任何組合的化學物質來對支柱501進行選擇性乾式蝕刻。在一個實施例中,使用熱或溫的Aqua Regia混合物(包括HCl及HNO3 ,比率分別為3:1)來對支柱501進行選擇性濕式蝕刻。在一個實施例中,使用鹼與氧化劑(硝酸鉀(KNO3 )與二氧化鉛(PbO2 ))來對支柱501進行選擇性蝕刻。
第7A圖至第7B圖圖示移除支柱501中之至少一者並保留支柱501中之至少一者的實施例的視圖。該領域具有通常知識者將理解,可以藉由任何合適的技術(包括但不限於遮罩及光刻)實現一些支柱的選擇性移除。
第8A圖係為移除襯墊301之後的類似於第7A圖的視圖800。第8B圖係為第8A圖所示的電子裝置的頂視圖810。
在一或更多個實施例中,襯墊301的移除具有選擇性,而凹陷的導電線段201不受影響。不受理論的束縛,並認為使用鹼基及過氧化氫移除襯墊301的一或更多個實施例的濕式蝕刻方法係針對銅而具有選擇性。該領域具有通常知識者應理解,分別移除Ta、TaN及銅並非易事。一旦Ta、TaN及銅一起存在(例如,在一或更多個實施例的襯墊301及凹陷的導電線段201中),則Ta及TaN的選擇性蝕刻非常困難,並且亦將同時蝕刻/移除銅。
參照第8A圖至第8B圖,在一或更多個實施例中,使用鹼基或過氧化氫中之一或更多者來從凹陷的導電線段201移除襯墊301。在一或更多個實施例中,鹼基的使用濃度範圍係為約0.1N至約20N。在一或更多個實施例中,鹼基及過氧化氫二者都用於移除襯墊301。當使用鹼基及過氧化氫二者來移除襯墊301時,可以使用約1:2至約5:1的比率的鹼基與過氧化氫,並包括約1:1的比率的鹼基與過氧化氫。不受理論的束縛,並認為藉由將過氧化氫的量保持為較低,而最小化對於絕緣層的損傷(例如,超低k值)。在一或更多個實施例中,襯墊301的移除係發生於約25℃至約90℃的溫度的範圍內,包括約30℃至約85℃、約35℃至約80℃、約25℃至約85℃、約25℃至約75℃、約25℃至約70℃、約25℃至約65℃、約25℃至約65℃、約25℃至約60℃及約40℃至約90℃的範圍。在一或更多個實施例中,襯墊301的移除係發生於約25℃至約400℃的溫度的範圍內。
在一或更多個實施例中,襯墊301的移除需要將鹼基(例如,氫氧化鈉)加熱至約25℃至約90℃的範圍的溫度,隨後加入過氧化氫。在一或更多個實施例中,鹼基係選自氫氧化鈉、氫氧化鉀、氫氧化鋁、氫氧化鋰、氫氧化銣、氫氧化銫、氫氧化銨、吡啶、三甲胺(TMA)、三甲胺(TEA)、氫氧化四甲銨(TMAH)或類似者中之一或更多者。在將基板浸入溶液之前加入過氧化氫。鹼基與過氧化氫的比率相當關鍵。比率的輕微變化可能導致過多的銅蝕刻,或者僅導致襯墊301的部分移除。
不受理論的束縛,並認為包含鉭(Ta)或氮化鉭(TaN)的襯墊301在氧化之後反應,而形成Ta2 O5 .nH2 O,且隨後與氫氧化鈉(NaOH)反應以形成鉭酸鈉(NaTaO3 )。
第9A圖係為根據一個實施例的在沉積絕緣層901以過度填充及圍繞支柱501之後的類似於第8A圖的視圖900。第9B圖係為第9A圖所示的電子裝置的頂視圖910。如第9A圖至第9B圖所示,絕緣層901係沉積並圍繞於絕緣層601的一部分上的支柱501與具有凹陷的導電線段201的金屬化層上。
在一個實施例中,絕緣層901係為低k間隙填充層。在一個實施例中,絕緣層901係為可流動的氧化矽(FSiOx)層。在至少一些實施例中,絕緣層901係為氧化物層(例如,二氧化矽(SiO2 )),或由電子裝置設計確定的任何其他電絕緣層。在一個實施例中,絕緣層901係為層間介電質(ILD)。在一個實施例中,絕緣層901係為低k介電質,其包括但不限於例如二氧化矽、氧化矽、碳基材料(例如,多孔碳膜)、碳摻雜氧化物(「CDO」)(例如,碳摻雜的二氧化矽)、多孔二氧化矽、多孔碳氫氧化矽(SiOCH)、氮化矽或其任何組合的材料。在一個實施例中,絕緣層901係為k值小於3的介電材料。在更特定實施例中,絕緣層901係為k值在約2.2至約2.7的近似範圍內的介電材料。在一個實施例中,絕緣層901包括k值小於2的介電材料。在一個實施例中,絕緣層901係代表上述關於絕緣層102的絕緣層中之一者。
在一個實施例中,絕緣層901係為低k層間介電質,以將一個金屬線段與其他金屬線段隔離。在一個實施例中,使用沉積技術中之一者來沉積絕緣層901,例如但不限於CVD、旋塗、ALD、PVD、MBE、MOCVD或微電子裝置製造領域的具有通常知識者已知的其他低k絕緣層沉積技術。
第10A圖係為根據一個實施例的在蝕刻基板101以形成開口1001之後的類似於第10A圖的視圖1000。第10B圖係為第10A圖所示的電子裝置的頂視圖1010。如第10A圖至第10B圖所示,相對於絕緣層601、絕緣層102及凹陷的導電線段201,選擇性移除絕緣層901。如第10A圖至第10B圖所示,在絕緣層901、601及102中形成開口1001。開口1001沿著與凹陷的導電線段201相同的軸線延伸。如第10A圖至第10B圖所示,每一開口1001的底部係為凹陷的導電線段201的頂表面203。一般而言,開口的深寬比係指稱開口的深度與開口的寬度的比率。在一個實施例中,每一開口1001的深寬比係在約1:1至約200:1的近似範圍內。
在一個實施例中,使用電子裝置製造領域中具有通常知識者已知的乾式及濕式蝕刻技術中之一或更多者來選擇性移除絕緣層901。在一個實施例中,在約80℃的溫度下藉由例如5重量%的氫氧化銨(NH4 OH)水溶液來選擇性濕式蝕刻絕緣層901。在一個實施例中,將過氧化氫(H2 O2 )加入到5重量%的NH4 OH水溶液,以增加絕緣層901的蝕刻速率。在一個實施例中,使用氫氟酸(HF)與硝酸(HNO3 )以1:1的比率來對絕緣層901進行選擇性濕式蝕刻。在一個實施例中,分別使用HF與HNO3 以3:7的比率來對絕緣層901進行選擇性濕式蝕刻。在一個實施例中,分別使用HF與HNO3 以4:1的比率來對絕緣層901進行選擇性濕式蝕刻。在一個實施例中,分別使用HF與HNO3 以30%:70%的比率來對絕緣層901進行選擇性濕式蝕刻。
第11A圖係為在將金屬膜1101沉積於凹陷的導電線段201上的開口1001中之後的類似於第10A圖的視圖1100。第11B圖係為第11A圖所示的電子裝置的頂視圖1110。金屬膜1101包含沿著第一方向延伸並與凹陷的該組導電線段201對準的一組導電線段。
在一個實施例中,形成導電線段1101係涉及利用導電材料層來填充開口1001。在一個實施例中,首先將基底層(未圖示)沉積於凹陷的導電線段201上的開口1001的內側壁及底部,隨後將導電層沉積於基底層上。在一個實施例中,基底層包括沉積於導電阻隔層(未圖示)上的導電種子層(未圖示)。種子層可以包括銅(Cu),而導電阻隔層可以包括鋁(Al)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)及類似金屬。導電阻隔層可以用於防止導電材料從種子層(例如,銅或鈷)擴散到絕緣層102中。此外,導電阻隔層可用於針對種子層(例如,銅)提供黏著。
在一個實施例中,為了形成基底層,將導電阻隔層沉積於開口1001的側壁及底部上,隨後將種子層沉積於導電阻隔層上。在另一實施例中,導電基底層包括直接沉積於開口1001的側壁及底部上的種子層。可以使用半導體製造領域中具有通常知識者已知的任何薄膜沉積技術(例如,濺射、毯覆沉積及類似者)來沉積導電阻隔層與種子層中之每一者。在一個實施例中,導電阻隔層與種子層中之每一者的厚度係在約1nm至約100nm的近似範圍內。在一個實施例中,阻隔層可以是薄介電質,經蝕刻以建立與下面的金屬層的導電性。在一個實施例中,可以完全省略阻隔層,並且可以使用銅線的適當摻雜來形成「自形成阻隔層」。
在一個實施例中,藉由電鍍處理將導電層(例如,銅或鈷)沉積到銅的基底層的種子層上。在一個實施例中,使用微電子裝置製造領域中的具有通常知識者已知的鑲嵌處理將導電層沉積到開口1001中。在一個實施例中,使用選擇性沉積技術(例如但不限於電鍍、電解、CVD、PVD、MBE、MOCVD、ALD、旋塗或其他微電子裝置製造領域中的通常知識者已知的其他沉積技術)將導電層沉積到開口1001中的種子層上。
在一個實施例中,導電線段1101的導電層的材料的選擇係確定種子層的材料的選擇。舉例而言,若導電線段1101的材料包括銅,則種子層的材料亦包括銅。在一個實施例中,導電線段1101包括金屬(例如,銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pd)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)或其任何組合)。
上金屬化層My包括延伸於絕緣層901的一部分上的金屬膜1101中的一組導電線段。如第11A圖至第11B圖所示,金屬膜中的導電線段1101係沿著Y軸122延伸。利用金屬膜中的導電線段1101填充的完全自對準的通孔係連接下金屬化層Mx與上金屬化層My,下金屬化層Mx包含沿著X軸121延伸的凹陷的導電線段201,而上金屬化層My包含金屬膜中的導電線段1101。如第11A圖至第11B圖所示,利用金屬膜中的導電線段1101填充的通孔係沿著Y軸122與凹陷的導電線段201自對準。
在一個實施例中,利用金屬膜中的導電線段1101填充通孔之步驟係涉及在絕緣層901的頂表面上沉積導電材料層。在一個實施例中,首先將基底層(未圖示)沉積於絕緣層901的頂表面上,隨後將導電層沉積於基底層上。在一個實施例中,基底層包括沉積於導電阻隔層(未圖示)上的導電種子層(未圖示)。種子層可包括銅,而導電阻隔層可包括鋁、鈦、鉭、氮化鉭及類似金屬。導電阻隔層可用於防止導電材料從種子層(例如,銅)擴散到絕緣層中。此外,導電阻隔層可用於針對種子層(例如,銅)提供黏著。
在一個實施例中,為了形成基底層,將導電阻隔層沉積於絕緣層901上,隨後將種子層沉積於導電阻隔層上。在另一實施例中,導電基底層包括直接沉積於絕緣層901上的種子層。可以使用半導體製造領域中具有通常知識者已知的任何薄膜沉積技術(例如,濺射、毯覆沉積及類似者)來沉積導電阻隔層與種子層中之每一者。在一個實施例中,導電阻隔層與種子層中之每一者的厚度係在約1nm至約100nm的近似範圍內。在一個實施例中,阻隔層可以是薄介電質,經蝕刻以建立與下面的金屬層的導電性。在一個實施例中,可以完全省略阻隔層,並且可以使用銅線的適當摻雜來形成「自形成阻隔層」。
在一個非限制性實例中,金屬膜中的導電線段1101的厚度係在約15nm至約1000nm的近似範圍內。在一個非限制性實例中,金屬膜中的導電線段1101的厚度係為約20nm至約200nm。在一個非限制性實例中,金屬膜中的導電線段1101的寬度係在約5nm至約500nm的近似範圍內。在一個非限制性實例中,金屬膜中的導電線段1101之間的間隔(節距)係為約2nm至約500nm。在更具體的非限制性實例中,金屬膜中的導電線段1101之間的間隔(節距)係為約5nm至約50nm。
在前述說明書中,已經參照具體示例性實施例描述本揭示的實施例。應理解,在不悖離所附申請專利範圍中闡述的本揭示的實施例的更廣泛精神及範圍的情況下,可以進行各種修改。因此,說明書與圖式係視為說明性而非限制性。
100:橫截面圖 101:基板 102:絕緣層 103:導電線段 104:溝道 110:頂視圖 120:透視圖 121:X軸 122:Y軸 123:角度 200:視圖 201:凹陷的導電線段 203:頂表面 204:側壁 205:溝道 210:頂視圖 220:透視圖 300:視圖 301:襯墊 310:頂視圖 320:透視圖 400:視圖 401:間隙填充層 410:頂視圖 420:透視圖 500:視圖 501:支柱 502:間隙 510:頂視圖 520:透視圖 600:視圖 601:絕緣層 610:頂視圖 620:透視圖 700:視圖 701:開口 710:頂視圖 800:視圖 810:頂視圖 900:視圖 901:絕緣層 910:頂視圖 1000:視圖 1001:開口 1010:頂視圖 1100:視圖 1101:導電線段 1110:頂視圖
為使本揭示的上述特徵可詳細地被理解,本揭示(簡短概要如上)的更特定描述可參照實施例而得,該等實施例之一些係繪示於隨附圖式中。然而,應注意隨附圖式僅圖示本揭示之典型實施例,而非視為限定本揭示的保護範疇,本揭示可接納其他等效實施例。本文所述的實施例係藉由示例的方式圖示,而非限制於隨附圖式的圖式中,其中相似的元件符號表示類似的元件。
第1A圖圖示根據一個實施例的提供完全自對準的通孔的電子裝置結構的橫截面圖;
第1B圖係圖示第1A圖所示的電子裝置結構的頂視圖;
第1C圖係圖示第1A圖所示的電子裝置結構的透視圖;
第2A圖係為根據一個實施例的在將導電線段凹陷之後的類似於第1A圖的視圖;
第2B圖係圖示第2A圖所示的電子裝置結構的頂視圖;
第2C圖係圖示第2A圖所示的電子裝置結構的透視圖;
第3A圖係圖示根據一個實施例的在襯墊沉積於凹陷的導電線段之後的類似於第2A圖的視圖;
第3B圖係圖示第3A圖所示的電子裝置結構的頂視圖;
第3C圖係圖示第3A圖所示的電子裝置結構的透視圖;
第4A圖係為根據一個實施例的在金屬膜沉積於襯墊之後的類似於第3A圖的視圖;
第4B圖係圖示第4A圖所示的電子裝置結構的頂視圖;
第4C圖係圖示第4A圖所示的電子裝置結構的透視圖;
第5A圖係為根據一個實施例的在支柱生長於襯墊之後的類似於第4A圖的視圖;
第5B圖係圖示第5A圖所示的電子裝置結構的頂視圖;
第5C圖係圖示第5A圖所示的電子裝置結構的透視圖;
第6A圖係為根據一個實施例的在沉積絕緣層之後的類似於第5A圖的視圖;
第6B圖係圖示第6A圖所示的電子裝置結構的頂視圖;
第6C圖係圖示第6A圖所示的電子裝置結構的透視圖;
第7A圖係為根據一個實施例的在移除至少一個支柱之後的類似於第6A圖的視圖;
第7B圖係圖示第7A圖所示的電子裝置結構的頂視圖;
第8A圖係為根據一個實施例的在移除襯墊之後的類似於第7A圖的視圖;
第8B圖係圖示第8A圖所示的電子裝置結構的頂視圖;
第9A圖係為根據一個實施例的在沉積絕緣層之後的類似於第8A圖的視圖;
第9B圖係圖示第9A圖所示的電子裝置結構的頂視圖;
第10A圖係為根據一個實施例的在蝕刻基板之後的類似於第9A圖的視圖;
第10B圖係圖示第10A圖所示的電子裝置結構的頂視圖;
第11A圖係為根據一個實施例的在填充通孔之後的類似於第10A圖的視圖;以及
第11B圖係圖示第11A圖所示的電子裝置結構的頂視圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
101:基板
102:絕緣層
201:凹陷的導電線段
203:頂表面
204:側壁
205:溝道
320:透視圖

Claims (20)

  1. 一種形成一自對準通孔的方法,該方法包含以下步驟: 提供其上具有一第一絕緣層的一基板,該第一絕緣層具有一頂表面以及沿著一第一方向形成的複數個溝道,該等複數個溝道具有沿著該第一方向延伸的凹陷的第一導電線段,並且在該第一絕緣層的該頂表面下方具有一第一導電表面; 在凹陷的該等第一導電線段上形成包含鉭的一襯墊; 在凹陷的該等第一導電線段上形成至少一個支柱,該至少一個支柱垂直於該第一絕緣層的該頂表面而延伸; 在該至少一個支柱周圍以及在該第一絕緣層的該頂表面上沉積一第二絕緣層; 選擇性移除該等支柱中之至少一者,以在該第二絕緣層中形成至少一個開口,而在凹陷的該等第一導電線段上留下至少一個支柱;以及 使用一鹼基及過氧化氫中之一或更多者來從凹陷的該等第一導電線段移除該襯墊。
  2. 如請求項1所述之方法,其中該鹼基及該過氧化氫二者都用於移除該襯墊。
  3. 如請求項2所述之方法,其中該鹼基及該過氧化氫係以約1:1至約5:1的一範圍的一比率存在。
  4. 如請求項1所述之方法,其中發生移除該襯墊之該步驟的一溫度的一範圍係為約25℃至約90℃。
  5. 如請求項1所述之方法,其中凹陷的該等第一導電線段包含銅或鈷中之一或更多者。
  6. 如請求項2所述之方法,其中該鹼基係選自氫氧化鈉、氫氧化鉀、氫氧化鋁、氫氧化鋰、氫氧化銣、氫氧化銫、氫氧化銨、吡啶、三甲胺(TMA)、三甲胺(TEA)或氫氧化四甲銨(TMAH)中之一或更多者。
  7. 如請求項1所述之方法,進一步包含以下步驟:透過該至少一個開口將一第三絕緣層沉積到凹陷的該等第一導電線段上。
  8. 如請求項7所述之方法,進一步包含以下步驟:相對於該第二絕緣層針對該第三絕緣層進行選擇性蝕刻,以形成朝向凹陷的該等第一導電線段的至少一個通孔開口。
  9. 如請求項8所述之方法,進一步包含以下步驟:在該至少一個通孔開口中沉積一第二導電材料,以形成一第一通孔與第二導電線段,該第一通孔將凹陷的該等第一導電線段連接到該等第二導電線段。
  10. 如請求項8所述之方法,其中該通孔開口係沿著該第一方向與一第二方向而與凹陷的該等第一導電線段中之一者自對準,該第二方向係與該第一方向以一角度交叉。
  11. 如請求項1所述之方法,其中凹陷的該等第一導電線段包含銅。
  12. 如請求項1所述之方法,其中形成該至少一個支柱之步驟包含以下步驟:在凹陷的該等第一導電線段上形成一金屬膜,以及從該金屬膜生長該至少一個支柱。
  13. 如請求項12所述之方法,其中將該金屬膜生長成該至少一個支柱之步驟包含該金屬膜的氧化或氮化中之一或更多者。
  14. 如請求項1所述之方法,其中選擇性移除該至少一個支柱之步驟包含以下步驟:在該第二絕緣層上形成一硬遮罩,該硬遮罩在該至少一個支柱上方具有一開口;以及移除該至少一個支柱,以形成該至少一個開口。
  15. 如請求項1所述之方法,其中該至少一個支柱包含氧化鎢。
  16. 如請求項7所述之方法,其中該第一絕緣層、該第二絕緣層及該第三絕緣層係獨立地選自氧化物、碳摻雜氧化物、多孔二氧化矽、碳化物、碳氧化物、氮化物、氮氧化物、氧碳氮化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)或其任何組合所組成的群組。
  17. 如請求項9所述之方法,其中該第二導電材料包含銅或鈷中之一或更多者。
  18. 如請求項1所述之方法,其中沉積該第二絕緣層之步驟包含以下步驟:在該第一絕緣層上,在該至少一個支柱周圍,以及在該至少一個支柱的一頂部上沉積一第二絕緣材料,以形成該第二絕緣層的一覆蓋層;以及將該第二絕緣層平坦化,以移除該第二絕緣層的該覆蓋層,並暴露該至少一個支柱的該頂部。
  19. 如請求項1所述之方法,其中藉由將烴與HF及HNO3 的溶液、NH4 OH及H2 O2 的溶液、WCl5 、WF6 、氟化鈮、氯一起使用來進行蝕刻以移除該至少一個支柱。
  20. 一種形成一自對準通孔的方法,該方法包含以下步驟: 提供其上具有一第一絕緣層的一基板,該第一絕緣層具有一頂表面以及沿著一第一方向形成的複數個溝道,該等複數個溝道具有沿著該第一方向延伸的凹陷的第一導電線段,並且在該第一絕緣層的該頂表面下方具有一第一導電表面,該第一絕緣層包含超低k值,而凹陷的該等第一導電線段包含銅或鈷; 在凹陷的該等第一導電線段上形成包含鉭的一襯墊; 在凹陷的該等第一導電線段上形成包含鎢的一金屬膜,以及從凹陷的該等第一導電線段上的該金屬膜生長包含氧化鎢的至少一個支柱,該至少一個支柱垂直於該第一絕緣層的該頂表面而延伸; 將一第二絕緣層沉積於該第一絕緣層上,圍繞該至少一個支柱沉積,並沉積於該至少一個支柱的一頂部上,以形成該第二絕緣層的一覆蓋層; 將該第二絕緣層平坦化,以移除該第二絕緣層的該覆蓋層,並暴露該至少一個支柱的該頂部; 選擇性移除該等支柱中之至少一者,以在該第二絕緣層中形成至少一個開口,而在凹陷的該等第一導電線段上留下至少一個支柱; 使用約1:1至約5:1的一比率的一鹼基及過氧化氫來從凹陷的該等第一導電線段移除該襯墊,其中該鹼基係選自氫氧化鈉、氫氧化鉀、氫氧化鋁、氫氧化鋰、氫氧化銣、氫氧化銫、氫氧化銨、吡啶、三甲胺(TMA)、三甲胺(TEA)或氫氧化四甲銨(TMAH)中之一或更多者; 透過該至少一個開口將一第三絕緣層沉積到凹陷的該等第一導電線段上; 相對於該第二絕緣層針對該第三絕緣層進行選擇性蝕刻,以形成朝向凹陷的該等第一導電線段的至少一個通孔開口;以及 在該至少一個開口中沉積一第二導電材料,以形成一通孔與第二導電線段,該第一通孔將凹陷的該等第一導電線段連接到該等第二導電線段。
TW108119155A 2018-06-08 2019-06-03 產生完全自對準通孔之方法 TWI809116B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862682238P 2018-06-08 2018-06-08
US62/682,238 2018-06-08

Publications (2)

Publication Number Publication Date
TW202013609A TW202013609A (zh) 2020-04-01
TWI809116B true TWI809116B (zh) 2023-07-21

Family

ID=68765198

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108119155A TWI809116B (zh) 2018-06-08 2019-06-03 產生完全自對準通孔之方法

Country Status (3)

Country Link
US (1) US10699953B2 (zh)
TW (1) TWI809116B (zh)
WO (1) WO2019236350A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11437273B2 (en) * 2019-03-01 2022-09-06 Micromaterials Llc Self-aligned contact and contact over active gate structures
US11164938B2 (en) * 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US11295978B2 (en) * 2020-04-29 2022-04-05 International Business Machines Corporation Interconnects having spacers for improved top via critical dimension and overlay tolerance

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050167846A1 (en) * 2001-02-27 2005-08-04 Junichi Aoyama Semiconductor device and method of manufacturing the same
TW201802889A (zh) * 2016-03-22 2018-01-16 格羅方德半導體公司 形成用於心軸及非心軸互連線之自對準連續性區塊之方法
US20180130657A1 (en) * 2016-11-08 2018-05-10 Applied Materials, Inc. Geometric Control Of Bottom-Up Pillars For Patterning Applications

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4671970A (en) 1986-02-05 1987-06-09 Ncr Corporation Trench filling and planarization process
KR0165813B1 (ko) 1995-04-12 1999-02-01 문정환 접속홀의 플러그 형성 방법
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
KR100223334B1 (ko) 1996-06-29 1999-10-15 김영환 반도체소자의 금속배선형성방법
US6143653A (en) 1998-10-04 2000-11-07 Promos Technologies, Inc. Method of forming tungsten interconnect with tungsten oxidation to prevent tungsten loss
KR20000026588A (ko) 1998-10-21 2000-05-15 윤종용 콘택홀을 갖는 반도체 장치 및 그 제조방법
US6130151A (en) 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
JP2001015479A (ja) 1999-06-29 2001-01-19 Toshiba Corp 半導体装置の製造方法
EP1203406A1 (en) 1999-08-18 2002-05-08 Steag RTP Systems, Inc. Method of producing copper features on semiconductor wafers
US6576113B1 (en) 1999-10-29 2003-06-10 California Institute Of Technology Method of electroplating of high aspect ratio metal structures into semiconductors
US6373087B1 (en) 2000-08-31 2002-04-16 Agere Systems Guardian Corp. Methods of fabricating a metal-oxide-metal capacitor and associated apparatuses
US7192803B1 (en) 2000-10-13 2007-03-20 Bridge Semiconductor Corporation Method of making a semiconductor chip assembly with simultaneously formed interconnect and connection joint
US6653200B2 (en) 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
US6528884B1 (en) 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
US7279119B2 (en) 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
AU2003228402A1 (en) 2002-03-28 2003-10-13 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7276787B2 (en) 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7211844B2 (en) 2004-01-29 2007-05-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
KR100923192B1 (ko) 2004-03-16 2009-10-22 가부시키가이샤 아이에이치아이 반도체 장치의 제조 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP2007005381A (ja) 2005-06-21 2007-01-11 Matsushita Electric Ind Co Ltd プラズマエッチング方法、及びプラズマエッチング装置
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7351648B2 (en) 2006-01-19 2008-04-01 International Business Machines Corporation Methods for forming uniform lithographic features
US7368394B2 (en) 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7956465B2 (en) 2006-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
JP2008108757A (ja) 2006-10-23 2008-05-08 Matsushita Electric Works Ltd 化合物半導体発光素子およびそれを用いる照明装置ならびに化合物半導体素子の製造方法
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US20090017631A1 (en) 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US20090072409A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20100330805A1 (en) 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
US7985977B2 (en) 2007-12-11 2011-07-26 Hvvi Semiconductors, Inc. Sacrificial pillar dielectric platform
KR101477661B1 (ko) 2008-07-17 2014-12-31 삼성전자주식회사 텅스텐 재성장을 통한 심 없는 텅스텐 패턴 및 그 패턴형성 방법
US8169031B2 (en) 2008-08-26 2012-05-01 International Business Machines Corporation Continuous metal semiconductor alloy via for interconnects
US8101456B2 (en) 2008-10-01 2012-01-24 International Business Machines Corporation Method to reduce a via area in a phase change memory cell
KR101026486B1 (ko) 2008-10-22 2011-04-01 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
JP5133852B2 (ja) 2008-11-13 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US8575753B2 (en) 2009-05-27 2013-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a conductive structure including oxide and non oxide portions
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
JP2011060803A (ja) 2009-09-07 2011-03-24 Toshiba Corp 半導体装置
US8274065B2 (en) 2009-10-19 2012-09-25 Macronix International Co., Ltd. Memory and method of fabricating the same
US8778749B2 (en) 2011-01-12 2014-07-15 Sandisk Technologies Inc. Air isolation in high density non-volatile memory
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
JP2011233922A (ja) 2011-07-20 2011-11-17 Ihi Corp 素子間分離領域の形成方法
US8946082B2 (en) 2011-09-16 2015-02-03 GlobalFoundries, Inc. Methods for forming semiconductor devices
KR20130046664A (ko) 2011-10-28 2013-05-08 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US8860001B2 (en) 2012-04-09 2014-10-14 Freescale Semiconductor, Inc. ReRAM device structure
US20140029181A1 (en) 2012-07-27 2014-01-30 Florian Gstrein Interlayer interconnects and associated techniques and configurations
US9245987B2 (en) 2012-11-29 2016-01-26 Micron Technology, Inc. Semiconductor devices and fabrication methods
US8901607B2 (en) 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9312220B2 (en) 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
US9178011B2 (en) 2013-03-13 2015-11-03 Intermolecular, Inc. Deposition of anisotropic dielectric layers orientationally matched to the physically separated substrate
US9012322B2 (en) 2013-04-05 2015-04-21 Intermolecular, Inc. Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition
US9040421B2 (en) 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
SG11201601323XA (en) 2013-09-27 2016-03-30 Intel Corp Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects
CN110060972B (zh) 2013-09-27 2024-02-23 英特尔公司 用于后段(beol)互连的自对准过孔及插塞图案化
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9362413B2 (en) 2013-11-15 2016-06-07 Cbrite Inc. MOTFT with un-patterned etch-stop
US9312168B2 (en) 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6297884B2 (ja) 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
KR102377372B1 (ko) 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9368395B1 (en) 2014-05-06 2016-06-14 Globalfoundries Inc. Self-aligned via and air gap
US9299745B2 (en) 2014-05-08 2016-03-29 GlobalFoundries, Inc. Integrated circuits having magnetic tunnel junctions (MTJ) and methods for fabricating the same
US9281382B2 (en) 2014-06-04 2016-03-08 Stmicroelectronics, Inc. Method for making semiconductor device with isolation pillars between adjacent semiconductor fins
US9627318B2 (en) 2014-06-16 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with footing region
US9679852B2 (en) 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9324650B2 (en) 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
US9356047B2 (en) 2014-08-18 2016-05-31 Globalfoundries Inc. Integrated circuits with self aligned contact structures for improved windows and fabrication methods
US9508642B2 (en) 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9735030B2 (en) 2014-09-05 2017-08-15 Fujifilm Planar Solutions, LLC Polishing compositions and methods for polishing cobalt films
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US20160111342A1 (en) 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
KR102310834B1 (ko) 2014-12-22 2021-10-07 도쿄엘렉트론가부시키가이샤 그래프팅 중합체 물질의 사용으로 기판의 패턴화
US20160260779A1 (en) 2015-03-06 2016-09-08 Kabushiki Kaisha Toshiba Non-volatile resistive random access memory device
US9362165B1 (en) 2015-05-08 2016-06-07 Globalfoundries Inc. 2D self-aligned via first process flow
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9716065B2 (en) 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US9721888B2 (en) 2015-12-08 2017-08-01 International Business Machines Corporation Trench silicide with self-aligned contact vias
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
WO2017136577A1 (en) 2016-02-02 2017-08-10 Tokyo Electron Limited Self-alignment of metal and via using selective deposition
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
KR20190047139A (ko) 2016-09-30 2019-05-07 어플라이드 머티어리얼스, 인코포레이티드 자가-정렬 비아들을 형성하는 방법들
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
TW201833991A (zh) 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
WO2018227110A1 (en) 2017-06-10 2018-12-13 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050167846A1 (en) * 2001-02-27 2005-08-04 Junichi Aoyama Semiconductor device and method of manufacturing the same
TW201802889A (zh) * 2016-03-22 2018-01-16 格羅方德半導體公司 形成用於心軸及非心軸互連線之自對準連續性區塊之方法
US20180130657A1 (en) * 2016-11-08 2018-05-10 Applied Materials, Inc. Geometric Control Of Bottom-Up Pillars For Patterning Applications

Also Published As

Publication number Publication date
US20190378756A1 (en) 2019-12-12
WO2019236350A1 (en) 2019-12-12
TW202013609A (zh) 2020-04-01
US10699953B2 (en) 2020-06-30

Similar Documents

Publication Publication Date Title
US10892187B2 (en) Method for creating a fully self-aligned via
US10410921B2 (en) Fully self-aligned via
US11037825B2 (en) Selective removal process to create high aspect ratio fully self-aligned via
US20190355621A1 (en) Method For Increasing The Verticality Of Pillars
US10892183B2 (en) Methods for removing metal oxides
US11270914B2 (en) Method of forming self-aligned via
TWI809116B (zh) 產生完全自對準通孔之方法
US20220359289A1 (en) Fully self-aligned via
TWI757659B (zh) 碳膜的選擇性沉積及其用途