TWI791904B - 半導體裝置和積體電路佈局設計修改方法 - Google Patents

半導體裝置和積體電路佈局設計修改方法 Download PDF

Info

Publication number
TWI791904B
TWI791904B TW108136151A TW108136151A TWI791904B TW I791904 B TWI791904 B TW I791904B TW 108136151 A TW108136151 A TW 108136151A TW 108136151 A TW108136151 A TW 108136151A TW I791904 B TWI791904 B TW I791904B
Authority
TW
Taiwan
Prior art keywords
gate
mentioned
source
gate via
drain
Prior art date
Application number
TW108136151A
Other languages
English (en)
Other versions
TW202021134A (zh
Inventor
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202021134A publication Critical patent/TW202021134A/zh
Application granted granted Critical
Publication of TWI791904B publication Critical patent/TWI791904B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

第一閘極結構、第二閘極結構以及第三閘極結構之每一者在第一方向上延伸。第一閘極通孔被設置在第一閘極結構上。第一閘極通孔具有第一尺寸。第二閘極通孔被設置在第二閘極結構上。第二閘極通孔具有大於第一尺寸的第二尺寸。第三閘極通孔設置在第三閘極結構上。第三閘極通孔具有小於第二尺寸但大於第一尺寸的第三尺寸。第一源極接點被設置相鄰於第一閘極通孔的第一側。第一汲極接點被設置相鄰於第一閘極通孔的與第一側相對的第二側。第二汲極接點被設置相鄰於第三閘極通孔的第一側。

Description

半導體裝置和積體電路佈局設計修改方法
本揭露係關於一種半導體裝置,特別是減少了橋接風險和電阻的半導體裝置。
半導體積體電路(integrated circuit;IC)工業快速成長。在IC材料及IC設計的技術進步產生多個IC世代,每一個IC世代比上一個IC世代有更小及更複雜的電路。然而,這些進步增加了IC製程及製造的複雜性,為實現這些進步,需要在IC製程及製造有相似的發展。在IC發展過程中,當幾何尺寸(例如:用製程可作出之最小部件)下降時,功能密度(例如:每一晶片區域的相連元件數量)通常都會增加。
隨著半導體特徵尺寸的縮小,電路橋接(electrical bridging)(例如:微電子部件之間的電性短路)可能成為問題。為了預防電路橋接,可以將微電子部件彼此分開設置。然而,微電子部件之間的較大間距導致繞線(routing)效率低下和功能密度降低。
因此,儘管現有的半導體IC裝置通常已足夠用於它們的預期目的,但它們並非在所有方面都完全令人滿意的。
本揭露提供一種半導體裝置。半導體裝置包括第一閘極結構、第二閘極結構以及第三閘極結構,第一閘極結構、第二閘極結構以及第三閘極結構之每一者在第一方向上延伸;第一閘極通孔,設置在第一閘極結構上,第一閘極通孔具有第一尺寸;第二閘極通孔,設置在第二閘極結構上,第二閘極通孔具有大於第一尺寸的第二尺寸;第三閘極通孔,設置在第三閘極結構上,第三閘極通孔具有小於第二尺寸但大於第一尺寸的第三尺寸;第一源極接點,設置相鄰於第一閘極通孔的第一側;第一汲極接點,設置相鄰於第一閘極通孔的第二側,第一閘極通孔的第二側與第一閘極通孔的第一側相對;以及第二汲極接點,設置相鄰於第三閘極通孔的第一側。
本揭露提供一種半導體裝置。半導體裝置包括第一閘極結構、第二閘極結構以及第三閘極結構,第一閘極結構、第二閘極結構以及第三閘極結構之每一者在第一方向上延伸;複數鰭片結構,鰭片結構之每一者在垂直於第一方向的第二方向上延伸,其中鰭片結構之每一者被第一閘極結構、第二閘極結構以及第三閘極結構圍繞;第一閘極通孔,設置在第一閘極結構上,第一閘極通孔具有第一尺寸,其中第一閘極通孔在俯視上與鰭片結構之一者重疊;第二閘極通孔,設置在第二閘極結構上,第二閘極通孔具有大於第一尺寸的第二尺寸,其中第二閘極通孔在俯視上不與鰭片結構之任一者重疊;第三閘極通孔,設置在第三閘極結構上,第三閘極通孔具有小於第二尺寸但大於第一尺寸的第三尺寸,其中第三閘極通孔在俯視上不與鰭片結構之任一者重疊;以及複數源極/汲極接點,源極/汲極接點之每一者在第一方向上延伸;其中:第一閘極通孔 的第一側和第二側皆具有設置與其相鄰的源極/汲極接點,其中第一側和第二側在第二方向上彼此相對;第二閘極通孔的第一側和第二側皆不具有設置與其相鄰的源極/汲極接點;以及第三閘極通孔的第一側而不是第二側具有設置與其相鄰的源極/汲極接點之一者。
本揭露提供一種積體電路佈局設計修改方法。積體電路佈局設計修改方法包括接收積體電路(IC)佈局設計,其中積體電路佈局設計包括位在第一閘極上的第一閘極通孔、位在第二閘極上的第二閘極通孔以及位在第三閘極上的第三閘極通孔,並且其中第一閘極通孔在第一側和與第一側相對的第二側上皆具有設置與其相鄰的源極/汲極接點,第二閘極通孔在第一側或第二側上沒有設置與其相鄰的源極/汲極接點,並且第三閘極通孔在第一側而不是第二側具有設置與其相鄰的源極/汲極接點;以及藉由調整第一閘極通孔、第二閘極通孔或第三閘極通孔之至少一者的尺寸來修改積體電路佈局設計,其中在調整步驟之後,第二閘極通孔的尺寸大於第三閘極通孔的尺寸,第三閘極通孔的尺寸大於第一閘極通孔的尺寸。
10:鰭式場效電晶體裝置結構
12:磊晶成長材料
15:N型鰭式場效電晶體裝置結構
25:P型鰭式場效電晶體裝置結構
102:基板
104:鰭片結構
105:間隔物
108:隔離結構
110:閘極電極
112:硬罩幕層
114:硬罩幕層
115:介電層
90:P型鰭式場效電晶體
91:N型鰭式場效電晶體
95:鰭片
96:鰭片
200:積體電路裝置
210:N井
220~223,230~233:鰭片結構
250,251,260:閘極結構
270~272:電晶體
280~282:冗餘閘極結構
290:閘極間隔物
300~307,309~311:金屬線
320,323,325,331,332:槽接點/源極接點
321,322,324,330:槽接點/汲極接點
VG1~VG3:閘極通孔
VD1~VD3:汲極通孔
VS1~VS7:源極通孔
221A:源極區
221B:汲極區
401~403:最大橫向尺寸
600:方法
610,620,630:操作
700:積體電路製造系統
702,704,706,708,710,712,714,716,…,N:實體
本揭露從後續實施例以及附圖可以更佳理解。須知示意圖係為範例,並且不同特徵並無示意於此。不同特徵之尺寸可能任意增加或減少以清楚論述。附圖僅顯示了本揭露的典型實施例,並因此不應視為對本揭露範圍之限制,本揭露可以應用於其他實施例。
第1圖顯示了鰭式場效電晶體(fin field-effect transistor;FinFET)裝置的示意圖。
第2圖顯示了在互補式金屬氧化物半導體(Complementary Metal-Oxide-Semiconductor;CMOS)配置中的FinFET電晶體的剖面圖。
第3圖和第4圖顯示了根據本揭露實施例之邏輯電路的一部分的俯視圖。
第5A圖至第5C圖顯示了根據本揭露實施例之第3圖和第4圖的裝置的一部分的剖面圖。
第6圖和第7圖顯示了根據本揭露實施例之第3圖和第4圖的裝置的一部分的剖面圖。
第8圖顯示了根據本揭露實施例之積體電路佈局設計修改方法的流程圖。
第9圖顯示了根據本揭露實施例之半導體製造廠的示意圖。
本揭露提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定實施例,以簡化說明。當然,這些特定的範例並非用以限定。舉例來說,若是本揭露敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下本揭露不同實施例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖示中一個元 件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。除此之外,設備可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
此外,當數字或數字範圍以“約”、“近似”等描述時,該術語旨在涵蓋包括所述數量的合理範圍內的數量,例如+/-10%內的數值或本技術領域中具有通常知識者理解的其他數值。舉例來說,術語“約5nm”包括4.5nm至5.5nm的尺寸範圍。
隨著半導體裝置尺寸的持續微縮,各種IC微電子部件之間的電路橋接可能成為一個更大的問題。舉例來說,閘極接點(gate contact)可能會物理地接觸槽接點(slot contact)或通孔,從而導致閘極接點與槽接點或通孔之間的電性短路。意外的電路橋接可能會降低裝置效能或導致裝置故障。為了預防電性橋接,習知的IC設計及/或佈局方案已經嘗試將處於橋接風險的部件(例如:閘極接點和槽接點或通孔)彼此遠離設置。然而,這樣做可能會降低功能密度及/或導致金屬繞線效率低下。
為了克服上述問題,本揭露為關於設置閘極通孔和源極/及源極通孔的新穎且非顯而易見的方案,如下面參照第1圖至第9圖的詳細討論。
應理解本揭露實施例可應用於複數類型的IC及/或電晶體。舉例來說,本接露可應用於平面裝置、鰭式場效電晶體(FinFET)裝置(其可以是二維結構或三維結構)、垂直環繞式閘極(gate-all-around;GAA)裝置、水平GAA裝置、奈米線裝置、奈米片裝置或其組合。為了提供示例,在第1圖中顯示了FinFET裝置。然而,應理解除非特別聲明,否則本揭露不應限於特定類型的裝置。
參照第1圖,顯示了FinFET裝置結構10的示意圖。FinFET裝置結構10包括N型FinFET裝置結構(N-type metal-oxide-semiconductor;NMOS)15和P型FinFET裝置結構(P-type metal-oxide-semiconductor;PMOS)25。FinFET裝置結構10包括基板102。基板102可以由矽或其他半導體材料製成。替代地或附加地,基板102可包括其他元素半導體材料,例如鍺。在一些實施例中,基板102由化合物半導體製成,例如碳化矽、砷化鎵、砷化銦或磷化銦。在一些實施例中,基板102由合金半導體製成,例如矽鍺、碳化矽鍺、磷砷化鎵或磷化銦鎵。在一些實施例中,基板102包括磊晶層。舉例來說,基板102可包括覆蓋塊體半導體的磊晶層。
FinFET裝置結構10還包括一或多個鰭片結構104(例如:矽(Si)鰭片),其從基板102沿著Z方向延伸,並且被間隔物105沿著Y方向圍繞。鰭片結構104在X方向上是細長的(elongated),並且可以可選地包括鍺(Ge)。鰭片結構104可藉由使用合適製程來形成,例如微影和蝕刻製程。在一些實施例中,使用乾式蝕刻或電漿製程從基板102蝕刻鰭片結構104。在一些其他實施例中,鰭片結構104可藉由雙重圖案化微影(double-patterning lithography;DPL)製程來形成。DPL是藉由將圖案分成兩個交錯的圖案以在基板上構造圖案的方法。DPL可以增強功能(例如:鰭片)的密度。鰭片結構104還包括磊晶成長材料12,其可以(連同鰭片結構104的部分一起)用作FinFET裝置結構10的源極/汲極。在一些實施例中,對於N型場效電晶體(N-type field-effect transistor;NFET),磊晶成長材料可包括磷化矽(SiP)、碳化矽(SiC)、碳磷化矽(SiPC)、砷化矽(SiAs)、矽(Si)或其組合。在一些實施例中,對於P型場效電晶體(P-type field-effect transistor;PFET),磊晶成長材料可包括矽鍺(SiGe)、矽鍺碳(SiGeC)、鍺(Ge)、矽(Si)、硼摻雜材料 或其組合。
形成隔離結構108(例如淺溝槽隔離(shallow trench isolation;STI)結構)以圍繞鰭片結構104。在一些實施例中,鰭片結構104的下部被隔離結構108圍繞,並且鰭片結構104的上部從隔離結構108突出,如第1圖所示。換句話說,鰭片結構104的一部分嵌入隔離結構108中。隔離結構108預防了電性干擾(electrical interference)或電性串擾(electrical crosstalk)。
FinFET裝置結構10還包括閘極堆疊結構,該閘堆疊疊結構包括閘極電極110和在閘極電極110下方的閘極介電層(未顯示)。閘極電極110可包括多晶矽或金屬。金屬包括氮化鉭(TaN)、鎳矽(NiSi)、鈷矽(CoSi)、鉬(Mo)、銅(Cu)、鎢(W)、鋁(Al)、鈷(Co)、鋯(Zr)、鉑(Pt)或其他合適材料。可以在閘極後製程(gate last process)(或閘極替換製程)中形成閘極電極110。硬罩幕層112和114可用於定義閘極電極110。介電層115還可以形成在閘極電極110的側壁上以及硬罩幕層112和114上方。
閘極介電層(未顯示)可包括介電材料,例如氧化矽、氮化矽、氮氧化矽、具有高介電常數(高k)的介電材料或其組合。高k介電材料的實施例包括氧化鉿、氧化鋯、氧化鋁、二氧化鉿-氧化鋁合金(hafnium dioxide-alumina alloy)、矽氧化鉿、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯等或其組合。
在一些實施例中,閘極堆疊結構包括額外的層,例如界面層、覆蓋層、擴散/阻擋層或其他合適層。在一些實施例中,閘極堆疊結構形成在鰭片結構104的中央部分上方。在一些其他實施例中,多個閘極堆疊結構形成在鰭片結構104上方。在一些其他實施例中,閘極堆疊結構包括冗餘閘極堆疊,並且在執行高熱預算製程之後,被金屬閘極(metal gate;MG)代替。
閘極堆疊結構藉由沉積製程、微影製程以及蝕刻製程來形成。沉積製程包括化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)、高密度電漿CVD(high density plasma CVD;HDPCVD)、金屬有機CVD(metal organic CVD;MOCVD)、遠距電漿CVD(remote plasma CVD;RPCVD)、電漿輔助CVD(plasma enhanced CVD;PECVD)、電鍍、其他合適方法及/或其組合。微影製程包括光阻塗佈(例如:旋塗)、軟烘烤、罩幕對準、曝光、曝光後烘烤、顯影光阻、清洗、乾燥(例如:硬烘烤)。蝕刻製程包括乾式蝕刻製程或濕式蝕刻製程。可選地,微影製程可藉由其他合適方法來實現或代替,例如無罩幕微影、電子束寫入以及離子束寫入。
第2圖顯示了在CMOS配置中的FinFET電晶體的剖面圖。CMOS FinFET包括基板,例如矽基板。在基板中形成N型井和P型井。在N型井和P型井上方形成介電隔離結構,例如淺溝槽隔離(STI)。在N型井上方形成P型FinFET 90,並且在P型井上方形成N型FinFET 91。P型FinFET 90包括從STI向上突出的鰭片95,N型FinFET 91包括從STI向上突出的鰭片96。鰭片95包括P型FinFET 90的通道區,並且鰭片96包括N型FinFET 91的通道區。在一些實施例中,鰭片95由矽鍺組成,並且鰭片96由矽組成。在鰭片95、96上方和STI上方形成閘極介電質,並且在閘極介電質上方形成閘極電極。在一些實施例中,閘極電介質包括高k介電材料,並且閘極電極包括金屬閘極電極,例如鋁及/或其他難熔金屬(refractory metal)。在一些其他實施例中,閘極介電質可包括氮氧化矽(SiON),並且閘極電極可包括多晶矽。閘極接點形成在閘極電極上,以提供通往閘極的電性連接。
與傳統的金屬氧化物半導體場效電晶體(Metal-Oxide Semiconductor Field Effect Transistor;MOSFET)裝置(亦稱為平面電晶體裝置)相比,FinFET裝置提供許多優勢。這些優勢可包括更好的晶片面積效率、改善的載子遷移率以及與平面裝置的製程相容的製程。因此,可期望設計針對一部分或整個IC晶片使用FinFET裝置的積體電路(IC)晶片。下面使用由FinFET裝置實現的IC來描述本揭露的各個方面。然而,應理解這僅為提供示例之目的,並且本揭露不限於FinFET裝置,並且可應用於平面裝置、GAA裝置、奈米線裝置或奈米片裝置等。
第3圖是根據實施例之IC裝置200的一部分的俯視圖。IC裝置200可包括複數電路單元,例如記憶體單元(例如靜態隨機存取記憶體(static random access memory;SRAM))及/或標準電路單元(亦稱為標準(standard;STD)單元)。STD單元可包括邏輯電路或邏輯裝置,包括例如反相器、NAND閘、NOR閘、正反器或其組合的邏輯電路,但不限於此。為了提供示例,在第3圖中顯示了兩個STD單元:包括NAND閘的STD單元1和包括反相器的STD單元2。STD單元1和STD單元2的一部分可以形成在摻雜井(例如N井210)上方。應理解STD單元1(包括NAND閘)和STD單元2(包括反相器)僅為示例。本揭露也應用於其他類型的STD單元,例如包括NOR閘、AND閘、OR閘、正反器、具有特定邏輯功能的其他邏輯閘或其組合的單元。
STD單元1包括複數鰭片結構220至223,並且STD單元2包括複數鰭片結構230至233。鰭片結構220至223和230至233各自以細長的方式(elongated manner)在X方向上延伸,並且可以是第1圖的鰭片結構104或第2圖的鰭片95或鰭片96的實施例。
STD單元1包括複數閘極結構250至251,並且STD單元2包括閘極結構260。閘極結構250至251和260各自以細長的方式在Y方向上延伸,並且可包括第1圖的閘極電極110的實施例(以及閘極介電層)。同樣如以上參照第1圖和第2圖的描述,閘極結構250至251和260各自部分地圍繞鰭片結構220至223和230至233的頂表面和側表面,從而形成不同的電晶體270至272。鰭片結構220至223和230至233在閘極結構250至251和260下方的部分包括電晶體270至272的通道區,而鰭片結構220至223和230至233在閘極結構250至251和260之外的部分包括電晶體270至272的源極區和汲極區。在一些實施例中,閘極結構250至251和260還可包括位於其上的氮化物層或高k介電層。
IC裝置200包括冗餘閘極結構280至282。冗餘閘極結構280至282也各自以細長的方式在Y方向上延伸(例如:平行於閘極結構250至251和260)。然而,與閘極結構250至251和260不同,冗餘閘極結構280至282不是功能性閘極結構(例如:不包含閘極電極)。替代地,冗餘閘極結構280至282可由電性絕緣材料(例如:介電材料)製成,以在IC裝置200的各種實施例之間提供電性隔離。在第3圖所示的實施例中,冗餘閘極結構280至282為鰭片結構220至223和230至233提供電性隔離。冗餘閘極結構280至282在美國專利9,613,953、美國專利9,805,985以及美國專利9,793,273中更詳細地描述,其每一者的內容由引用完全併入本文。
閘極間隔物290可形成在閘極結構250至251和260以及冗餘閘極結構280至282周圍。閘極間隔物290可包括介電材料,例如氧化矽、氮化矽、氮氧化矽、低k介電材料(例如:介電常數約小於4)或其組合。
IC裝置200包括多層互連結構,多層互連結構包含金屬線和通孔以將IC的各種部件電性互連在一起。第3圖顯示了來自多層互連結構的底部互連 層(稱為第一金屬層(M1或metal-1)層(M1金屬層))的各種金屬線300至311。金屬線300至311可各自以細長的方式在X方向上延伸。金屬線300至301可以延伸跨越STD單元1和STD單元2兩者,並且可包括用於電力軌(electrical power rail)的金屬線。舉例來說,金屬線300可包括用於Vdd電源軌(power rail)的金屬線,並且金屬線301可包括用於Vss電源軌的金屬線。在STD單元1中,金屬線302-306在俯視圖中各自與閘極結構250至251相交,在STD單元2中,金屬線307至311在俯視圖中各自與閘極結構260相交。另外,金屬線302至306與鰭片結構220至223個別地重疊,並且金屬線307至311與鰭片結構230-233個別地重疊。如此一來,可以在金屬線300至311與閘極結構250至251和260與鰭片結構220至223和230至233之間進行電性互連。
STD單元1包括槽接點320至325,並且STD單元2包括槽接點330至332。槽接點320至325和330至332各自包括一或多個導電層。舉例來說,導電層可包括鈦(Ti)、氮化鈦(TiN)、鉑(Pt)、鈷(Co)、釕(Ru)、鎢(W)、氮化鉭(TaN)、銅(Cu)或其組合。槽接點320至325和330至332各自以細長的方式在Y方向上延伸。在俯視圖中,槽接點320至322與鰭片結構220至221相交、槽接點323至325與鰭片結構222至223相交、槽接點330與鰭片結構230至233相交、槽接點331與鰭片結構230至231相交、以及槽接點332與鰭片結構232至233相交。鰭片結構220至223和230至233的相交部分是源極/汲極區,並因此槽接點320至325和330至332能夠提供到源極/汲極區的電性連接。
在第3圖所示的實施例中,槽接點320、323、325以及331至332是源極接點(即提供到源極的電性連接),並且在下文中可以互換地稱為源極接點,而槽接點321至322、324以及330是汲極接點(即提供到汲極的電性連接),並且在 下文中可互換地稱為汲極接點。值得注意的是,源極接點320、323、325以及331至332在Y方向上比汲極接點321至322以及324更長(例如:至少1.5倍)。然而,汲極接點330在Y方向上比源極接點320、323、325以及331至332更長。
IC裝置200包括在STD單元1中的閘極通孔VG1至VG2和在STD單元2中的閘極通孔VG3。閘極通孔VG1至VG3提供通往電晶體270至272的閘極的電性連接,並因此也可以互換地個別稱為閘極通孔VG1、VG2、VG3。舉例來說,閘極通孔VG1至VG3可各自包括一或多種導電材料。舉例來說,導電材料可包括鈦(Ti)、氮化鈦(TiN)、鉑(Pt)、鈷(Co)、釕(Ru)、鎢(W)、氮化鉭(TaN)、銅(Cu)或其組合。
閘極通孔VG1位於電晶體270的通道區上方,並且在閘極結構250(位於閘極通孔VG1下方)和金屬線303(位於閘極通孔VG1上方)之間提供電性連接。閘極通孔VG2位於隔離區上方(例如:在任何鰭片結構的外部),並且在閘極結構251(位於閘極通孔VG2下方)和金屬線304(位於閘極通孔VG2上方)之間提供電性連接。閘極通孔VG3也位於隔離區上方(例如:在任何鰭片結構的外部),並且在閘極結構260(位於閘極通孔VG3下方)和金屬線309(位於閘極通孔VG3上方)之間提供電性連接。因此,閘極通孔VG1至VG3各自為電晶體270至272中的另一個提供電性連接。
根據本揭露的各個方面,槽接點320至325及/或330至332相對於閘極通孔VG1至VG3的位置,可以與閘極通孔VG1至VG3的尺寸及/或佈置相關。更詳細來說,在閘極通孔VG1的情況,其任一側都有槽接點:槽接點320位於閘極通孔VG1的“左側”,並且槽接點321位於閘極通孔VG1的“右側”。換句話說,閘極通孔VG1是一種其位置相鄰兩個槽接點的閘極通孔。應理解在本揭露 中,對於被認為彼此相鄰設置的兩個元件,它們彼此之間並不一定要位於一定距離內。在閘極通孔VG1的情況,槽接點320是最接近其“左側”的槽接點,而槽接點321是最接近其“右側”的槽接點,並因此可考慮將槽接點320和321設置相鄰於閘極通孔VG1其“左側”和“右側”。同時,槽接點322(作為不被認為設置相鄰於閘極通孔VG1的示例性槽接點)至少藉由槽接點321與閘極通孔VG1分開,並因此槽接點322不是設置相鄰於閘極通孔VG1。為了提高隔離幅度(isolation margin)(或換句話說,為了最小化電性橋接的風險),閘極通孔VG1在閘極通孔VG1至VG3中具有最小的尺寸。舉例來說,閘極通孔VG1的小尺寸使其可以在X方向上向“左”或“右”偏移(例如:由於微影或其他製程缺陷),而不與相鄰的槽接點320或321物理接觸。在一些實施例中,閘極通孔VG1的尺寸在X方向上小於閘極結構250的尺寸。舉例來說,如第3圖所示,閘極通孔VG1的邊界在俯視圖中可位於閘極結構250的邊界內。
相較之下,在閘極通孔VG2的情況,沒有槽接點設置相鄰於閘極通孔VG2的“左側”或“右側”。槽接點321至322和324至325不被認為設置相鄰於閘極通孔VG2,因為任何跨越閘極通孔VG2的虛擬X方向線都不會與槽接點321至322和324至325相交。由於閘極通孔VG2可以在X方向上向“左”或“右”偏移而不會造成電性橋接,因此缺少相鄰設置的槽接點可使閘極通孔VG2在閘極通孔VG1至VG3中具有最大的尺寸。在一些實施例中,閘極通孔VG2的面積大於閘極通孔VG1的面積的約1.2倍,這可能是由於在X方向上及/或在X方向和Y方向兩者上,閘極通孔VG2的較大尺寸(大於閘極通孔VG1的尺寸)。在一些實施例中,閘極通孔VG2的尺寸在X方向上大於閘極結構251的尺寸。舉例來說,如第3圖所示,閘極通孔VG2的邊界在俯視圖中可位於閘極結構251的邊界的稍微外 側。閘極通孔VG2的相對較大尺寸使其可以實現較小的電阻,從而有助於優化IC效能(例如速度)。
在閘極通孔VG3的情況,有槽接點330設置相鄰於閘極通孔VG3的“左側”,但是沒有槽接點設置相鄰於閘極通孔VG3的“右側”。槽接點330被認為設置相鄰於閘極通孔VG3的“左側”,因為它是到最靠近閘極通孔VG3的“左側”的槽接點,並且是跨越閘極通孔VG3的虛擬X方向線也將與槽接點330相交。另一方面,槽接點331至332不被認為設置相鄰於閘極通孔VG3的“右側”,因為任何跨越閘極通孔VG3的虛擬X方向線都不會與槽接點331或332相交。為了提高隔離幅度,閘極通孔VG3大於閘極通孔VG1但小於閘極通孔VG2,因為閘極通孔VG3可以向“右”偏移(但不向“左”偏移,因為槽接點330的存在),而不會導致與相鄰設置的槽接點電性橋接。在一些實施例中,閘極通孔VG2的面積大於閘極通孔VG3的面積的1.1倍,這可能是由於在X方向上及/或在X方向和Y方向兩者上,閘極通孔VG2的較大尺寸(大於閘極通孔VG3的尺寸)。閘極通孔VG3的面積仍大於閘極通孔VG1的面積,因為閘極通孔VG3僅有設置在其左側而不是在右側的槽接點330,而閘極通孔VG1則具有設置在兩側的槽接點320和321。
在一些實施例中,閘極通孔VG3的尺寸在X方向上大於閘極結構260的尺寸。另外,由於閘極通孔VG3在其“左側”具有槽接點330,而在其“右側”沒有,可以有意地將閘極通孔VG3向“右”“偏移”。換句話說,閘極通孔VG3的中心可以不相對於閘極結構260的中心對準或居中(centered)。替代地,閘極通孔VG3的中心可以在X方向上位於閘極結構260的中心的“右側”。換句話說,閘極通孔VG3的左側邊界可位於閘極結構260的左側邊界內,但是閘極通 孔VG3的右側邊界可位於閘極結構260的右側邊界之外。閘極通孔VG3的這種設計使其可以同時實現減小的電阻(因為其尺寸至少在一個方向上有所擴大)以及減小的電性橋接風險(因為閘極通孔VG3從槽接點330移開,有助於最小化閘極通孔VG3與槽接點330物理接觸的風險)。
有各種方式來實現閘極通孔VG1至VG3以達到其不同的尺寸及/或位置。在一些實施例中,IC晶片設計者及/或佈局工程師可配置IC晶片佈局,使得閘極通孔VG1至VG3可以實現如上所述的相對尺寸,例如閘極通孔VG2的尺寸大於閘極通孔VG3的尺寸,並且閘極通孔VG3的尺寸大於閘極通孔VG1的尺寸。然而,應理解由於現實世界中的製程缺陷(或甚至製程窗口中可接受的公差(acceptable tolerance)),實際製造的裝置中的閘極通孔VG1至VG3的尺寸可能會呈現其個別尺寸的變化,這可能導致實際製造的閘極通孔VG1至VG3的相對尺寸不符合根據IC晶片佈局設計所特定的相對尺寸條件。舉例來說,儘管IC晶片佈局設計可能要求閘極通孔VG2的尺寸大於閘極通孔VG3的尺寸,但是實際製造的裝置中的閘極通孔VG2的尺寸可能小於閘極通孔VG3的尺寸。IC晶片設計工程師或佈局工程師也可以移動任何閘極通孔VG1至VG3的位置,例如藉由移動閘極通孔VG3的位置,使其移至閘極結構260的中心的右側(遠離槽接點330)。再次說明,作為現實世界製造的結果,可以理解的是,實際製造的裝置中的閘極通孔VG1至VG3的位置可能不在根據初始IC晶片佈局設計所特定的位置。
在其他實施例中,根據上面討論的本揭露實施例,IC晶片設計工程師及/或佈局工程師不需要特地配置閘極通孔VG1至VG3的尺寸或位置。替代地,閘極通孔VG1至VG3的配置可藉由IC的晶圓廠(fab)或製造實體(manufacturing entity)完成。舉例來說,晶圓廠可以從IC設計廠(IC design house) 接收IC佈局設計。IC佈局設計可以是數位檔案的形式,例如圖形資料庫系統(Graphic Database System;GDS)格式的檔案。閘極通孔VG1至VG3初始可具有與原始IC佈局設計相同(或大抵相似)的尺寸。晶圓廠的工程師可以根據本揭露實施例,例如藉由調整閘極通孔VG1至VG3的大小及/或藉由移動其位置來修改(revise)或改變(modify)GDS檔案。在一些實施例中,輔助特徵(例如光學鄰近校正(optical proximity correction;OPC)特徵)可用來改變閘極通孔VG1至VG3的形狀、尺寸及/或位置。再次說明,儘管改變後的IC佈局設計可以以上面參照第3圖所述的方式特定閘極通孔VG1至VG3的相對尺寸及/或位置,但是現實世界的製程可能會導致其尺寸和位置的變化,使得實際製造的裝置可能不一定滿足與由改變的IC佈局設計所特定的閘極通孔VG1至VG3相關的相對尺寸及/或位置條件。
除了提供通往不同電晶體的閘極結構250至251和260的電性連接的閘極通孔VG1至VG3,IC裝置200還包括提供通往電晶體的汲極區的電性連接的複數汲極通孔。舉例來說,汲極通孔VD1將汲極接點324和金屬線306電性耦接在一起、汲極通孔VD2將汲極接點322和金屬線302電性耦接在一起、以及汲極通孔VD3將汲極接點330和金屬線310電性耦接在一起。汲極接點324、322以及330個別地設置在汲極通孔VD1、VD2以及VD3下方,而金屬線306、302以及310個別地設置在汲極通孔VD1、VD2和VD3上方。在一些實施例中,汲極通孔VD1、VD2以及VD3各自具有圓形俯視輪廓,例如大抵圓形的俯視輪廓。在其他實施例中,汲極通孔VD1、VD2以及VD3可各自具有大抵橢圓形的俯視輪廓,其中橢圓形俯視輪廓包括長軸和短軸。在一些實施例中,長軸與短軸的比率大於1:1但小於1.2:1。
IC裝置200還包括提供通往電晶體的源極區的電性連接的複數源極通孔。舉例來說,源極通孔VS1在X方向上跨越STD單元1和STD單元2,並且將源極接點323、325以及332與金屬線300電性耦接在一起。源極接點323、325以及332設置在源極通孔VS1下方,而金屬線300設置在源極通孔VS1上方。另一個源極通孔VS也在X方向上跨越STD單元1和STD單元2,並且將源極接點320和331與金屬線301電性耦接在一起。源極接點320和331被設置在源極通孔VS2下方,而金屬線301被設置在源極通孔VS2上方。
然而,與汲極通孔VD1、VD2以及VD3不同,源極通孔VS1和VS2各自具有線狀或矩形的俯視輪廓,它們在X方向上被各自拉長(elongated)。在一些實施例中,在X方向上的源極通孔VS1或VS2的尺寸超過在Y方向上的源極通孔VS1或VS2的尺寸至少10倍。換句話說,源極通孔VS1或VS2的X尺寸和Y尺寸之比率大於約10:1。源極通孔VS1和VS2的較大尺寸還有助於減小它們的電阻,其優化了裝置效能。
第4圖是根據替代實施例之IC裝置200的一部分的俯視圖。為了一致和明確,在第3圖和第4圖中出現的相似部件標示相同的標號。第4圖的實施例藉由具有不同尺寸的閘極通孔VG1、VG2以及VG3而與第3圖的實施例共享相似之處。閘極通孔VG1是閘極通孔VG1至VG3中最小的,因為它具有設置相鄰於其兩側的槽接點320至321。閘極通孔VG2是閘極通孔VG1至VG3中最大的,因為它沒有設置相鄰於其兩側的槽接點。閘極通孔VG3大於閘極通孔VG1但小於閘極通孔VG2,因為它具有設置相鄰於其“左側”而不是其“右側”的槽接點330。閘極通孔VG3的位置也向“右”偏移(或遠離槽接點330),以進一步最小化橋接風險。
然而,與第3圖的實施例不同,第4圖所示的實施例不具有跨越STD單元1和STD單元2兩者的細長源極通孔VS1或VS2。替代地,第4圖的實施例具有個別地電性耦接至源極接點的複數源極通孔VS3至VS7。詳細來說,源極通孔VS3位於源極接點323上方和金屬線300下方,並因此將它們電性耦接在一起。源極通孔VS4位於源極接點325上方和金屬線300下方,並因此將它們電性耦接在一起。源極通孔VS5位於源極接點332上方和金屬線300下方,並因此將它們電性耦接在一起。源極通孔VS6位於源極接點320上方和金屬線301下方,並因此將它們電性耦接在一起。源極通孔VS7位於源極接點331上方和金屬線301下方,並因此將它們電性耦接在一起。
源極通孔VS3至VS7的尺寸也被配置以大於汲極通孔VD1至VD3的尺寸。在一些實施例中,源極通孔VS3至VS7之任一者的面積(在俯視圖中)是汲極通孔VD1至VD3之任一者的面積的至少1.1倍,因為源極通孔VS3至VS7不太可能導致像汲極通孔VD1至VD3的橋接問題。源極通孔VS3至VS7的尺寸較大,也可減小電阻。
第5A圖、第5B圖以及第5C圖顯示了IC裝置200的不同部分的剖面圖。第5A圖至第5C圖中的水平方向對應上面所述的X方向,並且第5A圖至第5C圖中的垂直方向對應第1圖的Z方向。為了明確和一致的原因,第3圖和第5A圖至第5C圖中出現的部件將被標示相同的標號。
更詳細來說,第5A圖顯示了近似閘極通孔VG1的電晶體270的一部分。第5B圖顯示了近似閘極通孔VG2的電晶體271的一部分。第5C圖顯示了近似閘極通孔VG3的電晶體272的一部分。每個閘極通孔VG1至VG3可具有在頂部較寬而在底部較窄的剖面輪廓,從而相似梯形。換句話說,閘極通孔VG1至VG3 的側壁從頂部向底部向內傾斜(slanted inward)。
如第5A圖所示,閘極通孔VG1直接設置在閘極結構250上。第一金屬層(M1)中的金屬線303直接設置在閘極通孔VG1上。因此,閘極通孔VG1將閘極結構250和金屬線303電性耦接在一起。源極接點320設置在閘極通孔VG1左側相鄰於閘極通孔VG1,而汲極接點321設置在閘極通孔VG1右側相鄰於閘極通孔VG1。源極接點320形成在電晶體270的源極區221A(第3圖的鰭片結構221的一部分)上。汲極接點321形成在電晶體270的汲極區221B(也為第3圖的鰭片結構221的一部分)上。
如第5B圖所示,閘極通孔VG2直接設置在閘極結構251上。第一金屬層(M1)中的金屬線304直接設置在閘極通孔VG2上。因此,閘極通孔VG2將閘極結構251和金屬線304電性耦接在一起。沒有源極接點或汲極接點設置相鄰於閘極通孔VG2的任一側。
如第5C圖所示,閘極通孔VG3直接設置在閘極結構260上。第一金屬層(M1)中的金屬線309直接設置在閘極通孔VG3上。因此,閘極通孔VG3將閘極結構260和金屬線309電性耦接在一起。汲極接點321設置在閘極通孔VG3左側相鄰於閘極通孔VG3,但是沒有槽接點設置在閘極通孔VG3右側相鄰於閘極通孔VG3。如上面參照第3圖所述,汲極接點330在Y方向上是細長的,並且電性耦接到某些汲極區,例如鰭片結構230至233的汲極區。然而,第5C圖所示的汲極接觸330的一部分設置在隔離結構上方,例如淺溝槽隔離(STI)結構。因此,在第5C圖中的汲極接點330下方沒有汲極區。
如上面所述,由於在閘極通孔VG1的兩側上都存在槽接點320至321,所以閘極通孔VG1在三個閘極通孔VG1至VG3中具有最小的尺寸。閘極通 孔VG2的任一側都沒有槽接點,並因此閘極通孔VG2在三個閘極通孔VG1至VG3中具有最大的尺寸。閘極通孔VG3在一側具有槽接點(例如:汲極接點330)而另一側不具有槽接點,並因此閘極通孔VG3的尺寸大於閘極通孔VG1但小於閘極通孔VG2。舉例來說,閘極通孔VG1至VG3個別地具有最大橫向尺寸401至403,其可以在閘極通孔VG1至VG3的頂表面測量。最大橫向尺寸401小於最大橫向尺寸403,並且最大橫向尺寸403小於最大橫向尺寸402。在一些實施例中,最大橫向尺寸402與最大橫向尺寸401的比率在約1.2:1和約2:1之間的範圍內。在一些實施例中,最大橫向尺寸403與最大橫向尺寸401的比率在約1.1:1和約1.5:1之間的範圍內。在一些實施例中,最大橫向尺寸402與最大橫向尺寸403的比率在約1.1:1和約1.5:1之間的範圍內。在一些實施例中,最大橫向尺寸401在約5nm和約20nm之間的範圍內、最大橫向尺寸402在約6nm和約40nm之間的範圍內、以及最大橫向尺寸403在約5.5nm和約30nm之間的範圍內。
最大橫向尺寸401至403的相對比率範圍和數值範圍被配置以減輕電性橋接風險,同時在可能時減小電阻(例如:藉由使最大橫向尺寸403為最大)。另外,如第5C圖所示,閘極通孔VG3相對於閘極結構260的中心向右偏移。換句話說,閘極通孔VG3在X方向上偏移遠離汲極接點330,從而進一步降低汲極接點330的橋接風險。由於閘極通孔VG3的右側沒有槽接點,因此閘極通孔VG3的偏移不會產生額外的橋接風險。
第6圖和第7圖顯示了IC裝置200的不同部分的剖面圖。具體來說,第6圖顯示了閘極通孔VG1的剖面圖,而第7圖顯示了閘極通孔VG2和VG3的剖面圖。除了顯示閘極通孔VG1至VG3,第6圖和第7圖還顯示了互連結構的其他層,例如第二金屬層(M2(metal-2))和第三金屬層(M3(metal-3)),以及將第一金 屬層(M1)和M2層互連的通孔V2和將第二金屬層(M2)和第三金屬層(M3)互連的通孔V3。在第6圖和第7圖中還顯示了介電閘極結構(例如:冗餘閘極結構280至282)。
如第6圖所示,閘極通孔VG1形成在突出在井區上方的鰭片結構上方。電晶體的閘極、源極以及汲極由鰭片結構的一部分形成。槽接點設置相鄰於閘極通孔VG1的兩側上,並因此閘極通孔VG1在閘極通孔VG1至VG3中的橫向尺寸最小。相較之下,閘極通孔VG2至VG3位於STI(例如:隔離結構)區上方,並且沒有槽接點形成在閘極通孔VG2的任一側,並且閘極通孔VG3具有在其左側的槽接點但右側則沒有槽接點。如此一來,閘極通孔VG2在閘極通孔VG1至VG3中具有最大的尺寸,並且閘極通孔VG1在閘極通孔VG1至VG3中具有最小的尺寸。值得注意的是,閘極通孔VG3也相對於位於其下方的閘極向右偏移,從而進一步最小化與位於其左側的槽接點的橋接風險。
第8圖是根據本揭露實施例顯示了方法600的流程圖。方法600包括操作610,接收積體電路(IC)佈局設計。IC佈局設計包括位在第一閘極上的第一閘極通孔、位在第二閘極上的第二柵極通孔以及位在第三閘極上的第三閘極通孔。第一閘極通孔在第一側和與第一側相對的第二側上具有設置與其相鄰的源極/汲極接點。第二閘極通孔在第一側或第二側上皆不具有設置與其相鄰的源極/汲極接點。第三閘極通孔具有設置相鄰於第一側的源極/汲極接點而第二側則沒有源極/汲極接點。
方法600包括操作620,藉由調整第一閘極通孔、第二閘極通孔或第三閘極通孔中的至少一者的尺寸來修改IC佈局設計。上述調整操作之後,第二閘極通孔的尺寸大於第三閘極通孔的尺寸,並且第三閘極通孔的尺寸大於第 一閘極通孔的尺寸。
方法600包括操作630,根據修改後的IC佈局設計,改進積體電路的製造。
在一些實施例中,在執行操作620的修改操作之前,第一閘極通孔、第二閘極通孔以及第三閘極通孔具有大抵相似的尺寸。
在一些實施例中,修改操作還包括在遠離被設置與第三閘極通孔的第一側相鄰的源極/汲極接點的方向上,相對於第三閘極偏移第三閘極通孔的位置。
在一些實施例中,所接收的IC佈局設計還包括複數汲極通孔和源極通孔,並且修改操作還包括改變(modify)一或多個源極通孔的尺寸或形狀,使得改變後的源極通孔之每一者的尺寸大於汲極通孔之每一者的尺寸。
在一些實施例中,汲極通孔各自具有圓形俯視輪廓或橢圓形俯視輪廓,並且其中改變操作包括將源極通孔之至少一者配置為具有跨越多個源極/汲極接點的細長俯視輪廓。
應理解可以在方法600的操作610至630之前、之間或之後執行額外的處理。為了簡化,此處不詳細討論這些額外的操作。
第9圖顯示了根據本揭露實施例之積體電路製造系統700。積體電路製造系統700包括藉由通訊網路718連接的複數實體(entity)702、704、706、708、710、712、714、716…、N。通訊網路718可以是單一網路,或者可以是各種不同網路(例如內部網路和網際網路),並且可包括有線和無線通訊通道。
在一個實施例中,實體702代表用於製造協作(manufacturing collaboration)的伺服器系統;實體704代表使用者,例如監測感興趣產品(interested product)的產品工程師;實體706代表工程師,例如控制製程和相關配方(recipe)的製程工程師,或監測或調整製程工具的條件和設定的設備工程師;實體708代表用於IC測試和測量的量測工具;實體710代表半導體製程工具;實體712代表與實體710相關的虛擬量測模組;實體714代表與實體710以及額外的其他製程工具相關的先進製程控制模組;以及實體716代表與實體710相關的取樣模組(sampling module)。
每個實體可以與其他實體互相交流(interact),並且可提供積體電路製程、處理控制及/或計算能力至其他實體及/或從其他實體接收這種能力。每個實體還可包括一或多個用於執行計算和執行自動化的電腦系統。舉例來說,實體714的先進製程控制模組可包括其中具有編碼的軟體指令的複數電腦硬體。電腦硬體可包括硬碟(hard drive)、隨身碟(flash drive)、唯讀記憶光碟(Compact Disc Read-Only Memory;CD-ROM)、隨機存取記憶體(Random Access Memory;RAM)、顯示裝置(例如:螢幕)、輸入/輸出裝置(例如:滑鼠和鍵盤)。可以用任何合適程式語言來編寫軟體指令,並且可以將軟體指令設計為執行特定任務,例如與上面所述優化化學機械研磨(Chemical-Mechanical Polishing;CMP)製程控制相關的任務。
積體電路製造系統700使得實體之間能夠互相交流,以用於積體電路(IC)製造以及IC製造的先進製程控制。在一個實施例中,先進製程控制包括根據測量結果調整可應用於相關晶圓的一個製程工具的製程條件、設定及/或配方。
在另一個實施例中,量測結果係根據基於製程品質及/或產品品質所確定的最佳取樣率,從處理過的晶圓的子集測量得出。在又一個實施例中, 量測結果係根據基於製程品質及/或產品品質的各種特性(characteristic)所確定的最佳取樣場/點(optimal sampling field/point),從處理過的晶圓的子集的選定場和點測量得出。
IC製造系統700所提供的能力之一可以將協作和資訊能夠存取在如設計、工程和製程、量測和先進製程控制的這些領域中。IC製造系統700所提供的另一種能力可以在設備之間(例如在量測工具和製程工具之間)整合系統。這種整合使設備能夠協調其活動。舉例來說,整合量測工具和製程工具可以使製造資訊能夠更有效地合併到製程或先進製程控制(advanced processing control;APC)模組中,並且可以使來自線上(online)或以量測工具現場測量的晶圓資料整合在相關製程工具中。
積體電路製造系統700可用於執行上面參照第8圖討論的方法600。舉例來說,實體702至716中的一或多個可以從設計廠接收IC佈局設計,並接著藉由改變閘極通孔大小及/或移動閘極通孔,及/或藉由重新配置源極通孔的形狀或尺寸,來修改所接收的IC佈局設計,如上面參照第3圖至的4圖所述。
基於上面的討論,可以看出本揭露提供了優於習知裝置的優點。然而,應理解其他實施例可以提供額外優點,並且此處不必揭露所有優點,並且對於所有實施例都不需特定優點。一個優點是,藉由實現具有變化的尺寸及/或位置的閘極通孔及/或源極/汲極通孔,本揭露降低了電性橋接的風險,同時在可能的情況下實現電阻減小。舉例來說,閘極通孔VG1的兩側都有設置與其相鄰的源極/汲極接點。因此,閘極通孔VG1在閘極通孔中具有最小尺寸,從而避免了與源極/汲極接點的電性橋接。閘極通孔VG2沒有設置與其相鄰的源極/汲極接點。因此,閘極通孔VG2在閘極通孔中具有最大尺寸(因為橋接不是大問題), 這減小了它的電阻。減小的電阻轉化為更快的速度。閘極通孔VG3具有設置與一側一個源極/汲極接點,而另一側則具有相鄰的源極/汲極接點。因此,閘極通孔VG3在通孔之間具有中間尺寸,這降低了橋接風險以及電阻。閘極通孔VG3也在其位置上被偏移(例如:遠離源極/汲極接點),這進一步降低了橋接風險。上面討論的這種配置也不會引起額外的面積損失,意味著不需要擴展佈局區以實現本揭露。此外,電路設計者或佈局工程師在選擇不同類型的VG通孔可具有更大的自由度,這允許共同優化晶片密度和效能。其他優點包括與現有製程的相容性和易於實施。
本揭露關於一種半導體裝置。半導體裝置包括:第一閘極結構、第二閘極結構以及第三閘極結構,第一閘極結構、第二閘極結構以及第三閘極結構之每一者在第一方向上延伸;第一閘極通孔,設置在第一閘極結構上,第一閘極通孔具有第一尺寸;第二閘極通孔,設置在第二閘極結構上,第二閘極通孔具有大於第一尺寸的第二尺寸;第三閘極通孔,設置在第三閘極結構上,第三閘極通孔具有小於第二尺寸但大於第一尺寸的第三尺寸;第一源極接點,設置相鄰於第一閘極通孔的第一側;第一汲極接點,設置相鄰於第一閘極通孔的第二側,第一閘極通孔的第二側與第一閘極通孔的第一側相對;以及第二汲極接點,設置相鄰於第三閘極通孔的第一側。
在一些實施例中,沒有設置源極接點或汲極接點相鄰於第二閘極通孔的第一側或第二側;以及沒有設置源極接點或汲極接點相鄰於第三閘極通孔的第二側,第三閘極通孔的第二側與第三閘極通孔的第一側相對。
在一些實施例中,半導體裝置更包括複數鰭片結構,鰭片結構之每一者在與第一方向不同的第二方向上延伸;第一閘極結構、第二閘極結構以 及第三閘極結構之每一者圍繞鰭片結構;第一閘極通孔設置在鰭片結構之一者上方;以及第二閘極通孔和第三閘極通孔未設置在鰭片結構之任一者上方。
在一些實施例中,第三閘極通孔在遠離第二汲極接點的方向上,相對於第三閘極結構偏移。
在一些實施例中,第一源極接點在第一方向上的尺寸大於第一汲極接點。
在一些實施例中,半導體裝置更包括:互連結構的複數第一金屬線和複數第二金屬線,其中第一金屬線和第二金屬線之每一者在與第一方向不同的第二方向上延伸;複數汲極接點,包括第一汲極接點和第二汲極接點,其中汲極接點之每一者在第一方向上延伸;複數源極接點,包括第一源極接點,其中源極接點之每一者在第一方向上延伸;複數汲極通孔,設置在汲極接點和第一金屬線之間,並且電性耦接至汲極接點和第一金屬線;以及複數源極通孔,設置在源極接點和第二金屬線之間,並且電性耦接至源極接點和第二金屬線,其中源極通孔之每一者的尺寸大抵上大於汲極通孔之每一者的尺寸。
在一些實施例中,汲極通孔之每一者具有圓形俯視輪廓或橢圓形俯視輪廓;以及源極通孔之每一者具有細長俯視輪廓,源極通孔之每一者在第二方向上伸長,並且源極通孔之每一者電性耦接至源極接點之多者。
在一些實施例中,汲極通孔之每一者具有第一圓形俯視輪廓或第一橢圓形俯視輪廓;源極通孔之每一者具有第二圓形俯視輪廓或第二橢圓形俯視輪廓;以及在俯視上的源極通孔之每一者的尺寸在第二方向上至少是汲極通孔之每一者的尺寸的1.5倍。
在一些實施例中,第一閘極結構、第一閘極通孔、第二閘極結構 以及第二閘極通孔是第一電路單元的部件;第三閘極結構和第三閘極通孔是第二電路單元的部件;以及第一電路單元和第二電路單元是不同類型的電路單元。
本揭露關於一種半導體裝置。半導體裝置包括:第一閘極結構、第二閘極結構以及第三閘極結構,第一閘極結構、第二閘極結構以及第三閘極結構之每一者在第一方向上延伸;複數鰭片結構,鰭片結構之每一者在垂直於第一方向的第二方向上延伸,其中鰭片結構之每一者被第一閘極結構、第二閘極結構以及第三閘極結構圍繞;第一閘極通孔,設置在第一閘極結構上,第一閘極通孔具有第一尺寸,其中第一閘極通孔在俯視上與鰭片結構之一者重疊;第二閘極通孔,設置在第二閘極結構上,第二閘極通孔具有大於第一尺寸的第二尺寸,其中第二閘極通孔在俯視上不與鰭片結構之任一者重疊;第三閘極通孔,設置在第三閘極結構上,第三閘極通孔具有小於第二尺寸但大於第一尺寸的第三尺寸,其中第三閘極通孔在俯視上不與鰭片結構之任一者重疊;以及複數源極/汲極接點,源極/汲極接點之每一者在第一方向上延伸;其中:第一閘極通孔的第一側和第二側皆具有設置與其相鄰的源極/汲極接點,其中第一側和第二側在第二方向上彼此相對;第二閘極通孔的第一側和第二側皆不具有設置與其相鄰的源極/汲極接點;以及第三閘極通孔的第一側而不是第二側具有設置與其相鄰的源極/汲極接點之一者。
在一些實施例中,第三閘極通孔的中心在遠離被設置與其相鄰的源極/汲極接點之一者的第二方向上,相對於第三閘極結構的中心偏移。
在一些實施例中,源極/汲極接點的第一子集包括複數源極接點;源極/汲極接點的第二子集包括複數汲極接點;以及源極接點和汲極接點在第一方向上具有不同的尺寸。
在一些實施例中,半導體裝置更包括汲極通孔和源極通孔,其中源極通孔的面積在俯視上大於汲極通孔的面積。
在一些實施例中,汲極通孔具有圓形俯視輪廓或橢圓形俯視輪廓;以及源極通孔具有在第二方向上伸長的矩形俯視輪廓。
本揭露關於一種積體電路佈局設計修改方法。積體電路佈局設計修改方法包括:接收積體電路(IC)佈局設計,其中積體電路佈局設計包括位在第一閘極上的第一閘極通孔、位在第二閘極上的第二閘極通孔以及位在第三閘極上的第三閘極通孔,並且其中第一閘極通孔在第一側和與第一側相對的第二側上皆具有設置與其相鄰的源極/汲極接點,第二閘極通孔在第一側或第二側上沒有設置與其相鄰的源極/汲極接點,並且第三閘極通孔在第一側而不是第二側具有設置與其相鄰的源極/汲極接點;以及藉由調整第一閘極通孔、第二閘極通孔或第三閘極通孔之至少一者的尺寸來修改積體電路佈局設計,其中在調整步驟之後,第二閘極通孔的尺寸大於第三閘極通孔的尺寸,第三閘極通孔的尺寸大於第一閘極通孔的尺寸。
在一些實施例中,積體電路佈局設計修改方法更包括根據所修改的積體電路佈局設計改進積體電路的製造。
在一些實施例中,在修改步驟之前,第一閘極通孔、第二閘極通孔以及第三閘極通孔具有大抵相似的尺寸。
在一些實施例中,修改步驟更包括在遠離被設置與第三閘極通孔的第一側相鄰的源極/汲極接點的方向上,相對於第三閘極偏移第三閘極通孔的位置。
在一些實施例中,所接收的積體電路佈局設計更包括複數汲極通 孔和複數源極通孔;以及修改步驟更包括改變源極通孔的一或多個的尺寸或形狀,使得改變後的源極通孔之每一者的尺寸大於汲極通孔之每一者的尺寸。
在一些實施例中,汲極通孔之每一者具有圓形俯視輪廓或橢圓形俯視輪廓,並且其中改變步驟包括將源極通孔之至少一者配置為具有跨越多個源極/汲極接點的細長俯視輪廓。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。舉例來說,藉由對位元線導體和字元線導體實施不同的厚度,可以實現導體的不同電阻。但是,也可以使用改變金屬導體的電阻的其他技術。
200:積體電路裝置
210:N井
220~223,230~233:鰭片結構
250,251,260:閘極結構
270~272:電晶體
280~282:冗餘閘極結構
290:閘極間隔物
300~307,309~311:金屬線
320,323,325,331,332:槽接點/源極接點
321,322,324,330:槽接點/汲極接點
VG1~VG3:閘極通孔
VD1~VD3:汲極通孔
VS1~VS2:源極通孔

Claims (10)

  1. 一種半導體裝置,包括:一第一閘極結構、一第二閘極結構以及一第三閘極結構,上述第一閘極結構、上述第二閘極結構以及上述第三閘極結構之每一者在一Y方向上延伸;一第一閘極通孔,設置在上述第一閘極結構上,上述第一閘極通孔在一X方向上具有一第一尺寸,其中上述X方向垂直於上述Y方向;一第二閘極通孔,設置在上述第二閘極結構上,上述第二閘極通孔在上述X方向上具有大於上述第一尺寸的一第二尺寸;一第三閘極通孔,設置在上述第三閘極結構上,上述第三閘極通孔在上述X方向上具有小於上述第二尺寸但大於上述第一尺寸的一第三尺寸;一第一源極接點,設置相鄰於上述第一閘極通孔的一第一側;一第一汲極接點,設置相鄰於上述第一閘極通孔的一第二側,上述第一閘極通孔的上述第二側與上述第一閘極通孔的上述第一側相對;以及一第二汲極接點,設置相鄰於上述第三閘極通孔的一第一側,其中上述第一閘極結構、上述第一閘極通孔、上述第二閘極結構以及上述第二閘極通孔是一第一電路單元的部件,其中上述第三閘極結構和上述第三閘極通孔是一第二電路單元的部件,其中上述第一電路單元和上述第二電路單元是不同類型的電路單元。
  2. 如請求項1之半導體裝置,其中:沒有設置源極接點或汲極接點相鄰於上述第二閘極通孔的一第一側或一第二側;以及沒有設置源極接點或汲極接點相鄰於上述第三閘極通孔的一第二側,上述第 三閘極通孔的上述第二側與上述第三閘極通孔的上述第一側相對。
  3. 如請求項1之半導體裝置,其中:上述半導體裝置更包括複數鰭片結構,上述鰭片結構之每一者在上述X方向上延伸;上述第一閘極結構、上述第二閘極結構以及上述第三閘極結構之每一者圍繞上述鰭片結構;上述第一閘極通孔設置在上述鰭片結構之一者上方;以及上述第二閘極通孔和上述第三閘極通孔未設置在上述鰭片結構之任一者上方。
  4. 如請求項1之半導體裝置,其中上述第三閘極通孔在遠離上述第二汲極接點的一方向上,相對於上述第三閘極結構偏移。
  5. 如請求項1之半導體裝置,其中上述半導體裝置更包括:一互連結構的複數第一金屬線和複數第二金屬線,其中上述第一金屬線和上述第二金屬線之每一者在上述X方向上延伸;複數汲極接點,包括上述第一汲極接點和上述第二汲極接點,其中上述汲極接點之每一者在上述Y方向上延伸;複數源極接點,包括上述第一源極接點,其中上述源極接點之每一者在上述Y方向上延伸;複數汲極通孔,設置在上述汲極接點和上述第一金屬線之間,並且電性耦接至上述汲極接點和上述第一金屬線;以及複數源極通孔,設置在上述源極接點和上述第二金屬線之間,並且電性耦接至上述源極接點和上述第二金屬線,其中上述源極通孔之每一者在上述X方 向上的尺寸大抵上大於上述汲極通孔之每一者在上述X方向上的尺寸。
  6. 一種半導體裝置,包括:一第一閘極結構、一第二閘極結構以及一第三閘極結構,上述第一閘極結構、上述第二閘極結構以及上述第三閘極結構之每一者在一Y方向上延伸;複數鰭片結構,上述鰭片結構之每一者在垂直於上述Y方向的一X方向上延伸,其中上述鰭片結構之每一者被上述第一閘極結構、上述第二閘極結構以及上述第三閘極結構圍繞;一第一閘極通孔,設置在上述第一閘極結構上,上述第一閘極通孔在上述X方向上具有一第一尺寸,其中上述第一閘極通孔在俯視上與上述鰭片結構之一者重疊;一第二閘極通孔,設置在上述第二閘極結構上,上述第二閘極通孔在上述X方向上具有大於上述第一尺寸的一第二尺寸,其中上述第二閘極通孔在俯視上不與上述鰭片結構之任一者重疊;一第三閘極通孔,設置在上述第三閘極結構上,上述第三閘極通孔在上述X方向上具有小於上述第二尺寸但大於上述第一尺寸的一第三尺寸,其中上述第三閘極通孔在俯視上不與上述鰭片結構之任一者重疊;以及複數源極/汲極接點,上述源極/汲極接點之每一者在上述Y方向上延伸;其中:上述第一閘極通孔的一第一側和一第二側皆具有設置與其相鄰的上述源極/汲極接點,其中上述第一側和上述第二側在上述X方向上彼此相對;上述第二閘極通孔的一第一側和一第二側皆不具有設置與其相鄰的上述源極/汲極接點; 上述第三閘極通孔的一第一側而不是一第二側具有設置與其相鄰的上述源極/汲極接點之一者;上述第一閘極結構、上述第一閘極通孔、上述第二閘極結構以及上述第二閘極通孔是一第一電路單元的部件;上述第三閘極結構和上述第三閘極通孔是一第二電路單元的部件;以及上述第一電路單元和上述第二電路單元是不同類型的電路單元。
  7. 如請求項6之半導體裝置,其中上述第三閘極通孔的一中心在遠離被設置與其相鄰的上述源極/汲極接點之一者的上述X方向上,相對於上述第三閘極結構的一中心偏移。
  8. 如請求項6之半導體裝置,其中:上述源極/汲極接點的一第一子集包括複數源極接點;上述源極/汲極接點的一第二子集包括複數汲極接點;以及上述源極接點和上述汲極接點在上述Y方向上具有不同的尺寸。
  9. 一種積體電路佈局設計修改方法,包括:接收一積體電路(IC)佈局設計,其中上述積體電路佈局設計包括位在一第一閘極上的一第一閘極通孔、位在一第二閘極上的一第二閘極通孔、以及位在一第三閘極上的一第三閘極通孔,並且其中上述第一閘極通孔在一第一側和與上述第一側相對的一第二側上皆具有設置與其相鄰的源極/汲極接點,上述第二閘極通孔在一第一側或一第二側上沒有設置與其相鄰的源極/汲極接點,並且上述第三閘極通孔在一第一側而不是一第二側具有設置與其相鄰的源極/汲極接點,其中上述第一閘極、上述第二閘極、以及上述第三閘極在一Y方向上延伸;以及 藉由調整上述第一閘極通孔、上述第二閘極通孔或上述第三閘極通孔之至少一者在垂直於上述Y方向的一X方向上的尺寸來修改上述積體電路佈局設計,其中在上述調整步驟之後,上述第二閘極通孔在上述X方向上的尺寸大於上述第三閘極通孔在上述X方向上的尺寸,上述第三閘極通孔在上述X方向上的尺寸大於上述第一閘極通孔在上述X方向上的尺寸,其中上述第一閘極、上述第一閘極通孔、上述第二閘極以及上述第二閘極通孔是一第一電路單元的部件;其中上述第三閘極和上述第三閘極通孔是一第二電路單元的部件;以及其中上述第一電路單元和上述第二電路單元是不同類型的電路單元。
  10. 如請求項9之積體電路佈局設計修改方法,其中上述修改步驟更包括在遠離被設置與上述第三閘極通孔的上述第一側相鄰的上述源極/汲極接點的一方向上,相對於上述第三閘極偏移上述第三閘極通孔的位置。
TW108136151A 2018-10-30 2019-10-05 半導體裝置和積體電路佈局設計修改方法 TWI791904B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862752446P 2018-10-30 2018-10-30
US62/752,446 2018-10-30
US16/269,747 2019-02-07
US16/269,747 US10854518B2 (en) 2018-10-30 2019-02-07 Configuring different via sizes for bridging risk reduction and performance improvement

Publications (2)

Publication Number Publication Date
TW202021134A TW202021134A (zh) 2020-06-01
TWI791904B true TWI791904B (zh) 2023-02-11

Family

ID=70325405

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108136151A TWI791904B (zh) 2018-10-30 2019-10-05 半導體裝置和積體電路佈局設計修改方法

Country Status (5)

Country Link
US (2) US10854518B2 (zh)
KR (1) KR102218929B1 (zh)
CN (1) CN111128881B (zh)
DE (1) DE102019103481B4 (zh)
TW (1) TWI791904B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US10854518B2 (en) 2018-10-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Configuring different via sizes for bridging risk reduction and performance improvement
CN112420699B (zh) 2019-08-20 2023-12-05 联华电子股份有限公司 半导体装置
US20210408116A1 (en) * 2020-06-29 2021-12-30 Taiwan Semiconductor Manufacturing Company Limited Memory device including a semiconducting metal oxide fin transistor and methods of forming the same
US11817392B2 (en) * 2020-09-28 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit
US20230028460A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Forming Silicon-Containing Material Over Metal Gate To Reduce Loading Between Long Channel And Short Channel Transistors

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120001271A1 (en) * 2010-06-30 2012-01-05 Samsung Electronics Co., Ltd. Gate electrode and gate contact plug layouts for integrated circuit field effect transistors
US20160056153A1 (en) * 2014-08-22 2016-02-25 Samsung Electronics Co., Ltd. Semiconductor devices and methods of forming the same

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4849804A (en) 1985-09-18 1989-07-18 Harris Corp. Fabrication of integrated circuits incorporating in-process avoidance of circuit-killer particles
TW454339B (en) * 1997-06-20 2001-09-11 Hitachi Ltd Semiconductor integrated circuit apparatus and its fabricating method
JP3544126B2 (ja) * 1998-10-15 2004-07-21 株式会社東芝 半導体装置の製造方法及び半導体装置
US8212316B2 (en) 2004-01-29 2012-07-03 Enpirion, Inc. Integrated circuit with a laterally diffused metal oxide semiconductor device and method of forming the same
DE102007020258B4 (de) 2007-04-30 2018-06-28 Globalfoundries Inc. Technik zur Verbesserung des Transistorleitungsverhaltens durch eine transistorspezifische Kontaktgestaltung
US8952547B2 (en) 2007-07-09 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with contact structure with first/second contacts formed in first/second dielectric layers and method of forming same
US8035140B2 (en) * 2007-07-26 2011-10-11 Infineon Technologies Ag Method and layout of semiconductor device with reduced parasitics
US8836035B2 (en) * 2010-03-10 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for reducing gate resistance
US8687437B2 (en) 2010-11-30 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Write assist circuitry
US8630132B2 (en) 2011-05-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM read and write assist apparatus
US8693235B2 (en) 2011-12-06 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for finFET SRAM arrays in integrated circuits
US8605523B2 (en) 2012-02-17 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking capacitive loads
US9036404B2 (en) * 2012-03-30 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM cell structure
US8964492B2 (en) 2012-07-27 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking mechanism for writing to a memory cell
US8760948B2 (en) 2012-09-26 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple bitcells tracking scheme semiconductor memory array
KR101454190B1 (ko) * 2012-12-07 2014-11-03 엘지디스플레이 주식회사 어레이 기판 및 이의 제조방법
US8982643B2 (en) 2012-12-20 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Shared tracking circuit
US9324413B2 (en) 2013-02-15 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Write assist circuit, memory device and method
US8929160B2 (en) 2013-02-28 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking circuit
US9117510B2 (en) 2013-03-14 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit for memory write data operation
US9478636B2 (en) * 2014-05-16 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device including source/drain contact having height below gate stack
US9793273B2 (en) 2014-07-18 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer
US9613953B2 (en) 2015-03-24 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor device layout, and method of manufacturing semiconductor device
CN105895586B (zh) * 2016-05-13 2019-02-22 武汉新芯集成电路制造有限公司 增加共享接触孔工艺窗口的方法
US10854518B2 (en) 2018-10-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Configuring different via sizes for bridging risk reduction and performance improvement

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120001271A1 (en) * 2010-06-30 2012-01-05 Samsung Electronics Co., Ltd. Gate electrode and gate contact plug layouts for integrated circuit field effect transistors
US20160056153A1 (en) * 2014-08-22 2016-02-25 Samsung Electronics Co., Ltd. Semiconductor devices and methods of forming the same

Also Published As

Publication number Publication date
KR102218929B1 (ko) 2021-02-24
KR20200050325A (ko) 2020-05-11
CN111128881A (zh) 2020-05-08
US20210104438A1 (en) 2021-04-08
CN111128881B (zh) 2022-07-01
DE102019103481B4 (de) 2021-02-18
DE102019103481A1 (de) 2020-04-30
US20200135569A1 (en) 2020-04-30
TW202021134A (zh) 2020-06-01
US10854518B2 (en) 2020-12-01
US11502004B2 (en) 2022-11-15

Similar Documents

Publication Publication Date Title
TWI791904B (zh) 半導體裝置和積體電路佈局設計修改方法
US11469238B2 (en) Non-interleaving N-well and P-well pickup region design for IC devices
TWI777424B (zh) 半導體裝置及其形成方法
CN110957366B (zh) 半导体器件和形成半导体器件的方法
TWI806282B (zh) 積體電路裝置
US20230361124A1 (en) Isolation structure for preventing unintentional merging of epitaxially grown source/drain
CN107026176B (zh) 接触soi衬底
TW202349251A (zh) 積體電路系統及積體電路的設計方法
TW202320177A (zh) 積體電路裝置及製造方法
CN114792657A (zh) 半导体装置
TW202115800A (zh) 半導體裝置及其製造方法
US20240072137A1 (en) Performance Optimization By Sizing Gates And Source/Drain Contacts Differently For Different Transistors
CN221057430U (zh) 半导体结构
US11923354B2 (en) Semiconductor devices
US20240120257A1 (en) Layer-By-Layer Formation Of Through-Substrate Via
US20230326519A1 (en) Sram Performance Optimization Via Transistor Width And Threshold Voltage Tuning
US20240055354A1 (en) Bridge Die Having Different Surface Orientation Than Ic Dies Interconnected By The Bridge Die
US20230056694A1 (en) Revising IC Layout Design to Eliminate Gaps Between Isolation Structures
TW202416385A (zh) 半導體裝置及其製造方法
CN114512483A (zh) 半导体装置
TW202414768A (zh) 晶圓級結構、半導體裝置及其製造方法
TW202414774A (zh) 半導體裝置、晶圓級結構、及其形成方法
CN113314536A (zh) 半导体器件和制造半导体器件的方法