TWI786418B - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TWI786418B
TWI786418B TW109125029A TW109125029A TWI786418B TW I786418 B TWI786418 B TW I786418B TW 109125029 A TW109125029 A TW 109125029A TW 109125029 A TW109125029 A TW 109125029A TW I786418 B TWI786418 B TW I786418B
Authority
TW
Taiwan
Prior art keywords
oxide layer
well region
thickness
layer
semiconductor substrate
Prior art date
Application number
TW109125029A
Other languages
English (en)
Other versions
TW202147449A (zh
Inventor
許春龍
李慶民
楊宗凱
Original Assignee
大陸商合肥晶合集成電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商合肥晶合集成電路股份有限公司 filed Critical 大陸商合肥晶合集成電路股份有限公司
Publication of TW202147449A publication Critical patent/TW202147449A/zh
Application granted granted Critical
Publication of TWI786418B publication Critical patent/TWI786418B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)

Abstract

本發明提出一種半導體結構及其製造方法,包括:提供一半導體基板,依次形成氧化層和犧牲層於該半導體基板上,其中,該氧化層的厚度為第一厚度;形成多個溝槽於該半導體基板上,該溝槽從該犧牲層延伸至該半導體基板中;形成介質隔離層於該多個溝槽及該犧牲層上,並移除位於該犧牲層上的該介質隔離層,以形成多個隔離結構;形成阱區於該半導體基板中;通過刻蝕製程對該氧化層進行處理,以使該氧化層的厚度等於第二厚度,該第一厚度大於該第二厚度;形成多晶矽層於刻蝕後的該氧化層上。本發明提出的半導體結構的製造方法可以提高半導體器件的可靠性。

Description

半導體結構及其製造方法
本發明涉及半導體技術領域,特別涉及一種半導體結構及其製造方法。
隨著半導體製造技術的飛速發展,半導體器件為了達到更快的運算速度、更大的資訊存儲量以及更多的功能,半導體晶片向更高集成度方向發展。閘氧化層為通過將基板(或稱襯底)表層的矽氧化為二氧化矽形成,是用作隔離的氧化介質層。在大型積體電路中,閘氧化層的性能直接決定了積體電路的性能、可靠性以及成品率。閘極(或稱柵極)氧化層的品質對於最後形成的半導體器件的性能和良率是至關重要的。如果閘極氧化層的表面上具有大量的缺陷,當在閘極氧化層上形成閘極結構後,由於閘極氧化層與閘極結構接觸的表面上有大量的缺陷,導致半導體器件的可靠性降低,進而導致最終器件的良率和性能的損失。
鑒於上述現有技術的缺陷,本發明提出一種半導體結構及其製造方法,以改善閘極氧化層的品質,從而提高半導體器件的可靠性。
為實現上述目的及其他目的,本發明提出一種半導體結構的製造方法,包括:提供一半導體基板;依次形成氧化層和犧牲層於該半導體基板上,其中,該氧化層的厚度為第一厚度;形成多個溝槽於該半導體基板上,該溝槽從該犧牲層延伸至該半導體基板中;形成介質隔離層(或稱隔離介質層)於該多個溝槽及該犧牲層上,並移除位於該犧牲層上的該介質隔離層,以形成多個隔離結構;形成阱區於該半導體基板中;通過刻蝕製程對該氧化層進行處理,以使該氧化層的厚度等於第二厚度,該第一厚度大於該第二厚度;形成多晶矽閘極於刻蝕後的該氧化層上。
優選地,該第一厚度為450-500埃(Å),該犧牲層的厚度為1400-1600埃。
優選地,該溝槽的深度為2000-9000埃。
優選地,形成該阱區之前,還包括移除該犧牲層。
優選地,該阱區包括第一阱區和第二阱區。
優選地,該第一阱區的深度為1.4-1.6微米(㎛),該第二阱區的深度為1.4-1.6微米。
優選地,該隔離結構位於該第一阱區和該第二阱區之間。
優選地,使用稀氫氟酸溶液刻蝕該氧化層,該稀氫氟酸溶液中氫氟酸與去離子水的比例為1:300-1:400,刻蝕時間為300-400秒。
優選地,該第二厚度為380-400埃。
優選地,本發明還提出一種半導體結構,包括:半導體基板;氧化層,位於該半導體基板上;多個隔離結構,位於該半導體基板中,且其頂面高於該半導體基板表面;第一阱區,位於該半導體基板中;第二阱區,位於該半導體基板中,其中,該多個隔離結構位於該第一阱區和該第二阱區之間;閘極結構,位於該氧化層上。
綜上所述,本發明提出一種半導體結構及其製造方法,該製造方法在形成氧化層時增加氧化層的厚度,當通過離子佈植(或稱離子注入)的方式在基板中形成第一阱區和第二阱區時,注入離子過程會對氧化層的結構造成損傷,會在氧化層的表面形成缺陷,通過刻蝕製程去除一定厚度的氧化層,從而可以有效去除氧化層表面上的缺陷,改善氧化層的品質,然後在氧化層上形成閘極結構,從而可以提高最終形成的半導體器件的可靠性。
以下通過特定的具體實例說明本發明的實施方式,本領域技術人員可由本說明書所揭露的內容輕易地瞭解本發明的其他優點與功效。本發明還可以通過另外不同的具體實施方式加以實施或應用,本說明書中的各項細節也可以基於不同觀點與應用,在沒有背離本發明的精神下進行各種修飾或改變。
需要說明的是,本實施例中所提供的圖示僅以示意方式說明本發明的基本構想,遂圖式中僅顯示與本發明中有關的組件而非按照實際實施時的元件數目、形狀及尺寸繪製,其實際實施時各元件的型態、數量及比例可為一種隨意的改變,且其元件佈局型態也可能更為複雜。
如圖1所示,本實施例提出一種半導體結構的製造方法,該製造方法可以用於製造半導體結構,例如用於製造各種記憶體,例如非揮發性記憶體、可程式唯讀記憶體、可抹除可程式化唯讀記憶體(或稱可擦拭可程式唯讀記憶體)及快閃記憶體。通過該半導體結構的製造方法製造的半導體結構可靠性強,該製造方法可以包括:
S1:提供一半導體基板;
S2:依次形成氧化層和犧牲層於所半導體述基板上,其中,該氧化層的厚度為第一厚度;
S3:形成多個溝槽於該半導體基板上,該溝槽從該犧牲層延伸至該半導體基板中;
S4:形成介質隔離層於該多個溝槽及該犧牲層上,並移除位於該犧牲層上的該介質隔離層,以形成多個隔離結構;
S5:形成阱區於該半導體基板中;
S6:通過刻蝕製程對該氧化層進行處理,以使該氧化層的厚度等於第二厚度,該第一厚度大於該第二厚度;
S7:形成多晶矽層於刻蝕後的該氧化層上。
如圖2A所示,在步驟S1中,首先提供一半導體基板101,半導體基板101可以是單晶矽、多晶矽、非晶矽中的一種。該半導體基板101也可以是矽鍺化合物、矽鎵化合物中的一種,該半導體基板101 可以包括磊晶層(或稱外延層)或    絕緣體上之矽(或稱絕緣層上矽)(Silicon On Insulator,SOI)結構。
如圖2A所示,在步驟S2中,首先對半導體基板101表面進行清洗,去除半導體基板101表面的雜質顆粒或其它污染物。然後在該半導體基板101上形成氧化層102,形成該氧化層102的方法可以是高溫爐管氧化、快速熱氧化、原位水蒸氣產生氧化法中的一種。在本實施例中,通過延長氧化時間,增加氧化層102的厚度,並將氧化層102的厚度定義為第一厚度,該第一厚度可以為450-500埃,例如470埃、480埃。
如圖2B所示,在本實施例中,可例如通過高溫爐管氧化製程形成氧化層102,氧化層102的形成過程包括,先將半導體基板101放置在管式爐內,通入氧氣,在800-900℃的溫度下將半導體基板102表面氧化生成一層薄氧化物介質層,即第一氧化層102a。第一氧化層102a的厚度可以為150埃,第一氧化層102a的生長時間可以在5分鐘以內。在本實施例中,第一氧化層102a的生長曲線呈線性形狀,此時第一氧化層102a的生長效率快,第一氧化層102a的厚度均一性較差。在形成第一氧化層102a之後,還可以在1000-1200℃的溫度下,在氮氣或惰性氣體的保護下,對具有第一氧化層102a的半導體基板101進行退火處理,在退火過程中,氮氣或惰性氣體被通入管式爐中,將管式爐中的氧氣全部置換,以避免第一氧化層102a繼續生長。該氮氣或惰性氣體的流量可以為 6-10L/min。在退火完成後,在800-900℃的溫度下,在氯化氫的存在下,在第一氧化層102a表面形成第二氧化層102b,該第二氧化層102b的厚度可以在300-350埃,在第二氧化層102b的生長過程中通入少量的氯化氫可以減少氧化層102中可移動金屬離子電荷的含量。該氯化氫的流量可以為 0.1-0.2L/min,該氧氣的流量可以為 8-12L/min。在本實施例中,第二氧化層102b的生長曲線為抛物線狀,第二氧化層102b的生長速率緩慢,第二氧化層102b的厚度均一性較好,第二氧化層102b的厚度在300-350埃,第二氧化層102b的生長時間可以在30分鐘以內。第二氧化層102b生長達到設定厚度之後,使用氮氣或惰性氣體置換管式爐中的氧氣,以避免第二氧化層102b繼續生長,然後降溫完成氧化層102的製造。
如圖2A所示,在步驟S2中,在形成氧化層102後,在氧化層102上形成犧牲層103。該犧牲層103的材料可以是氮化矽,該犧牲層103可以通過低壓化學氣相沉積、次大氣壓化學氣相沉積、常壓化學氣相沉積、電漿增強化學氣相沉積或高密度電漿化學氣相沉積中的一種實施的。在本實施例中,該犧牲層103的厚度可例如在1400-1600埃,例如為1500埃,犧牲層103同時作為化學機械研磨平坦化溝槽中填充的介質材料的停止層。
如圖3、圖4所示,在步驟S2中,在犧牲層103上形成光阻層104,光阻層104包括多個開口104a,開口104a暴露出犧牲層103的表面。在本實施例中,通過開口104a定義出溝槽的位置,也就是通過開口104a向下刻蝕,也就是依次移除位於開口104a下方的犧牲層103,氧化層102和部分半導體基板101,以在半導體基板101中形成多個溝槽105。在本實施例中,可以通過幹法刻蝕依次移除犧牲層103,氧化層102和部分半導體基板101,也就是以光阻層104為遮罩層(或稱掩膜層),依次刻蝕犧牲層103和氧化層102,然後去除光阻層104,然後以犧牲層103和氧化層102為遮罩層,刻蝕半導體基板101。從圖4中可以看出,在半導體基板101中形成多個溝槽105,圖4中顯示出三個溝槽105。溝槽105從犧牲層103延伸至半導體基板101中,也就是溝槽105的上端位於犧牲層103和氧化層102中,溝槽105的下端位於半導體基板101中,溝槽105的上端呈矩形狀,溝槽105的下端呈倒梯形狀。溝槽105的深度可以在2000-9000埃之間,例如為3000埃、6000埃或8000埃。
如圖3、圖4所示,形成溝槽105的方法可以為等離子體幹法刻蝕,該等離子體幹法刻蝕選用的刻蝕氣體要使該溝槽105的側壁較為光滑,具有較少的晶格缺陷,且使該溝槽105 的底部邊角較為平滑,該刻蝕氣體還要使該溝槽105側壁具有較為傾斜的輪廓。該刻蝕氣體可以是Cl2、HBr 或HBr與其它氣體的混合氣體,例如可以是HBr與O2和Cl2的混合氣體,或HBr與NF3和He的混合氣體。刻蝕形成溝槽105的深度可以通過刻蝕的時間控制。圖3中的箭頭表示刻蝕方向。
如圖5所示,在步驟S4中,在形成溝槽105之後,可以先對溝槽105的側壁及頂角進行熱氧化,以在該溝槽105中形成熱氧化側壁及熱氧化圓化頂角,以提高隔離性能。然後例如通過等離子體增強化學氣相沉積製程於該溝槽105和犧牲層103上形成介質隔離層106。該介質隔離層106的材料包括二氧化矽,氮化矽,氮氧化矽等。
如圖6、圖所示,在形成介質隔離層106之後,可以通過化學機械研磨製程去除位於犧牲層103上的介質隔離層106,以平坦化介質隔離層106,並停留在犧牲層103上。在化學機械研磨製程完成之後,可以使用濕式刻蝕去除犧牲層103。例如,使用加熱的磷酸溶液接觸犧牲層103,例如將制程晶圓浸泡在一種或多種磷酸刻蝕液中,磷酸刻蝕液的溫度可以在150-175℃。
如圖7所示,通過濕式刻蝕製程去除犧牲層103,使得介質隔離層106形成位於溝槽105中的填充部1061以及凸出於填充部1061上的凸起部1062,填充部1061和凸起部1062形成隔離結構106a。填充部1061位於半導體基板101和氧化層102中,凸起部1062凸出於氧化層102,也就是隔離結構106a的頂面高於氧化層102的表面,凸起部1062的高度在300-400埃,填充部1061和凸起部1062通過圖7中的虛線分開。在本實施例中,該隔離結構106a的高度在1500-6500埃,例如為3000埃,4000埃或5000埃。在本實施例中,當後期去除犧牲層103時,該凸起部1062可以保證氧化層102與隔離結構106a接觸位置的平整性。
如圖6所示,在一些實施例中,還可以將位於溝槽內的介質隔離層106定義為隔離結構,然後在半導體基板101中形成阱區之前,還可以去除犧牲層103。
如圖8、圖9所示,在步驟S5中,在形成隔離結構106a之後,通過離子佈植的方式在半導體基板101中形成阱區,該阱區包括第一阱區107和第二阱區108。圖8顯示為形成第一阱區107的結構示意圖,圖9顯示為形成第二阱區108的結構示意圖。圖8、圖9中的箭頭表示離子佈植的方向。
如圖8所示,首先對氧化層102進行劃分,根據隔離結構106a將氧化層102劃分成第一部分1021和第二部分1022。需要說明的是,為清楚的闡述第一阱區107和第二阱區108的位置,因此將氧化層102劃分成第一部分1021和第二部分1022。在形成第一阱區107時,首先在第二部分1022上形成光阻層104,然後在光阻層104的掩膜下,通過離子佈植(Iron Implant)的方法,向第一部分1021注入第一類型摻雜離子,並進行擴散,以在半導體基板101中形成第一阱區107。在本實施例中,第一阱區107的深度在1.4-1.6微米,例如為1.5微米。第一阱區107可例如為N型阱區,第一類型摻雜離子可以為N型離子,例如為P、As或Sb。
如圖9所示,在形成第一阱區107之後,在第一部分1021上形成光阻層104,然後在光阻層104的掩膜下,通過離子佈植(Iron Implant)的方法,向第二部分1022注入第二類型摻雜離子,並進行擴散,以在半導體基板101中形成第二阱區108。在本實施例中,第二阱區108的深度在1.4-1.6微米,例如為1.5微米。第二阱區108可例如為P型阱區,第二類型摻雜離子可以為P型離子,例如為B、Ga或In。
如圖10所示,在本實施例中,第一阱區107和第二阱區108均形成在半導體基板101中,第一阱區107和第二阱區108之間存在隔離結構106a。第一阱區107和第二阱區108的深度一致,例如為1.5微米。第一阱區107可例如為N型阱區,第一阱區107為形成NMOS器件提供製程平臺。第二阱區108可例如為P型阱區,第二阱區108為形成PMOS器件提供製程平臺。當然,在一些實施例中,第一阱區107還可以為P型阱區,第一阱區107為PMOS器件提供製程平臺,第二阱區108還可以為N型阱區,第二阱區108為NMOS器件提供製程平臺。
如圖10、圖11所示,在步驟S6中,首先去除光阻層104,由於採用離子佈植的方法形成第一阱區107和第二阱區108,氧化層102上方未形成保護層,當進行離子佈植時,佈植離子會對氧化層102的表面進行轟擊,導致氧化層102表面的結構產生損傷,會造成氧化層102表面出現缺陷,氧化層102上的缺陷會影響氧化層102的品質。因此本實施例中通過濕式刻蝕去除一定厚度的氧化層102,也就可以通過濕式刻蝕去除氧化層102表面的缺陷,由此可以提高氧化層102的品質。在本實施例中,通過濕式刻蝕製程之後,將氧化層102的厚度定義為第二厚度。經過濕式刻蝕之後,氧化層102的厚度可例如減小50-100埃,因此第二厚度小於第一厚度,經過刻蝕製程之後,第二厚度例如在380-400埃,例如為400埃,也就是經過刻蝕製程之後,氧化層102的厚度例如為400埃。在本實施例中,可例如通過濕式刻蝕刻蝕氧化層102,例如使用稀氫氟酸溶液刻蝕氧化層102,刻蝕時間例如為200秒-400秒,例如為300秒。在本實施例中,該稀氫氟酸溶液中氫氟酸與去離子水的比例在1:300-1:400之間,例如氫氟酸與去離子水的比例為1:350。在本實施例中,由於稀氫氟酸溶液的濃度較低,因此可以精確控制刻蝕時間來去除氧化層102的厚度,也就是可以控制氧化層102的最終厚度。
如圖10、圖11所示,在本實施例中,在形成氧化層102時增加了厚度,當氧化層102的表面出現缺陷時,通過濕式刻蝕減小氧化層102的厚度,也就可以通過濕式刻蝕去除氧化層102上的缺陷,同時還可以保證氧化層102具有一定的厚度,防止氧化層102被擊穿。
如圖12所示,在步驟S7中,在濕式刻蝕製程完成後,在氧化層102上形成多晶矽層109,多晶矽層109覆蓋氧化層102和隔離結構106a。多晶矽層109的厚度大於氧化層102的厚度。在本實施例中,可例如以矽烷作為反應氣體形成多晶矽層109。該多晶矽層109的厚度可以例如在200nm-500nm之間,例如為300nm或400nm;當然,該多晶矽層109的厚度可以根據實際需要進行設定。在本實施例中,該多晶矽層109用於形成半導體器件的閘極結構,例如形成浮閘電極。
如圖13、圖14所示,在形成多晶矽層109之後,在多晶矽層109上形成光阻層104,光阻層104未完全覆蓋多晶矽層109,光阻層104位於第一阱區107和第二阱區108的區域上。然後根據暴露出的多晶矽層109,並向下刻蝕至半導體基板101,也就是通過刻蝕去除氧化層102和隔離結構106a,從而形成閘極結構109a。從圖14中可以看出,圖14中顯示出兩個閘極結構109a,兩個閘極結構109a分別位於第一阱區107和第二阱區108的區域上。具體地,一個閘極結構109a位於第一阱區107的區域上,且位於兩個隔離結構106a之間,另一個閘極結構109a位於第二阱區108的區域上,且位於兩個隔離結構106a之間。閘極結構109a與半導體基板101之間還設置有氧化層102,氧化層102還可以定義為閘氧化層。在本實施例中,閘極結構109a可例如為浮閘電極。當然,在一些實施例中,還可以形成更多個閘極結構109a,例如形成四個或八個閘極結構109a。
如圖14所示,在本實施例中,還可以在閘極結構109a上形成其他閘極結構,例如控制閘極,由於後續制程不在影響氧化層102的品質,因此不在闡述後續制程。
如圖14所示,圖14顯示為本實施例中的半導體結構的結構示意圖。該半導體結構包括半導體基板101,半導體基板101內設置有第一阱區107,第二阱區108。第一阱區107和第二阱區108相鄰。該第一阱區107例如為N型阱區,第一阱區107為NMOS器件提供製程平臺。第二阱區108例如為P型阱區,第二阱區108為形成PMOS器件提供製程平臺。第一阱區107的摻雜離子類型與第二阱區108的摻雜離子類型不同,第一阱區107的摻雜離子例如為P、As或Sb,第二阱區108的摻雜離子例如為B、Ga或In。在本實施例中,第一阱區107的深度例如為1.5微米,第二阱區108的深度例如為1.5微米。
如圖14所示,在本實施例中,在半導體基板101中還設置有多個隔離結構106a,隔離結構106a還位於第一阱區107和第二阱區108之間。在本實施例中,該隔離結構106a的材料可以包括氮化矽、氧化矽或氮氧化矽等。在本實施例中,該隔離結構106a的材料包括氧化矽。該隔離結構106a縱截面的形狀可以根據實際需要進行設定,圖13中以該隔離結構106a縱截面的形狀包括倒梯形作為示例;當然,在其他示例中,該隔離結構106a縱截面的形狀還可以為U形等等。該隔離結構106a例如為淺溝槽隔離結構。
如圖14所示,在本實施例中,半導體基板101可以是單晶矽,多晶矽,非晶矽中的一種。該半導體基板101也可以是矽鍺化合物,矽鎵化合物中的一種,該半導體基板101 可以包括磊晶層或絕緣層上矽(Silicon On Insulator,SOI)結構。
如圖14所示,在本實施例中,在半導體基板101上還設置有多個氧化層102,例如顯示出兩個氧化層102,兩個氧化層102分別位於第一阱區107和第二阱區108上。具體地,一個氧化層102位於第一阱區107上,且位於隔離結構106a之間,另一個氧化層102位於第二阱區108上,且位於隔離結構106a之間。在本實施例中,該氧化層102還可以定義為閘氧化層。在沉積氧化層102時就增加了厚度,並將氧化層102的厚度定義為第一厚度,在形成閘極結構109a前,還可以通過濕式刻蝕去除氧化層102表面的缺陷,也就是通過濕式刻蝕來減小氧化層102的厚度,使得氧化層102的厚度等於第二厚度,以提高氧化層102的品質。在本實施例中,第一厚度例如為480埃,通過濕式刻蝕後,氧化層102的厚度變為第二厚度,第二厚度例如為400埃。
如圖14所示,在本實施例中,可例如濕式刻蝕製程刻蝕氧化層102,例如使用稀氫氟酸溶液刻蝕氧化層102,稀氫氟酸溶液中氫氟酸與去離子水的比例為1:300。當對氧化層102進行濕式刻蝕時,需要減小氧化層102的厚度,由於先增加氧化層102的厚度,氧化層102的厚度例如為450-500埃。因此通過濕式刻蝕後,既可以去除氧化層102表面的缺陷,又可以保證氧化層102具有一定的厚度,也就是可以保證氧化層102的厚度均一性較好。通過濕式刻蝕後,氧化層102的厚度可以減小50-100埃,通過濕式刻蝕後,氧化層102的厚度例如為380-400埃。該氧化層102的材料例如為二氧化矽,可例如通過高溫爐管氧化,快速熱氧化,原位水蒸氣產生氧化法中的一種形成該氧化層102。該氧化層102還可以定義為閘氧化層。
如圖14所示,在本實施例中,在氧化層102上還設置有閘極結構109a,閘極結構109a的厚度可以例如在200nm~500nm之間,例如為300nm或400nm;當然,該閘極結構109a的厚度可以根據實際需要進行設定。在本實施例中,該閘極結構109a例如為浮閘電極,該閘極結構109a的材料例如為多晶矽,可例如通過化學氣相沉積的方法形成該閘極結構。
如圖14所示,本實施例中,該半導體結構可以應用於多種積體電路中,該積體電路例如是記憶體電路,如隨機存取記憶體,動態隨機存取記憶體,同步隨機存取記憶體,靜態隨機存取記憶體或唯讀記憶體等等。該積體電路還可以是邏輯裝置,如可程式設計邏輯陣列、專用積體電路、合併式邏輯積體電路、射頻電路或任意其他電路器件。該積體電路還可以用於例如使用者電子產品,如個人電腦、可擕式電腦、遊戲機、蜂巢式行動電話、個人數位助理、攝影機、數位相機、手機等各種電子產品中。
綜上所述,本發明提出一種半導體結構及其製造方法,該製造方法在形成氧化層時就增加氧化層的厚度,當通過離子佈植的方式在基板中形成第一阱區和第二阱區時,注入離子會對氧化層的結構造成損傷,會在氧化層的表面形成缺陷,通過刻蝕製程去除一定厚度的氧化層,從而可以有效去除氧化層表面上的缺陷,改善氧化層的品質,然後在氧化層上形成閘極結構,從而可以提高最終形成的半導體器件的可靠性。該製造方法通過增加氧化層的厚度,在去除一定厚度氧化層時,還可以保證氧化層的厚度,防止氧化層被擊穿。
在整篇說明書中提到“一個實施例(one embodiment)”、“實施例(an embodiment)”或“具體實施例(a specific embodiment)”意指與結合實施例描述的特定特徵、結構或特性包括在本發明的至少一個實施例中,並且不一定在所有實施例中。因而,在整篇說明書中不同地方的短語“在一個實施例中(in one embodiment)”、“在實施例中(in an embodiment)”或“在具體實施例中(in a specific embodiment)”的各個表像不一定是指相同的實施例。此外,本發明的任何具體實施例的特定特徵、結構或特性可以按任何合適的方式與一個或多個其他實施例結合。應當理解本文所述和所示的發明實施例的其他變型和修改可能是根據本文教導的,並將被視作本發明精神和範圍的一部分。
還應當理解還可以以更分離或更整合的方式實施附圖所示元件中的一個或多個,或者甚至因為在某些情況下不能操作而被移除或因為可以根據特定應用是有用的而被提供。
另外,除非另外明確指明,附圖中的任何標誌箭頭應當僅被視為示例性的,而並非限制。此外,除非另外指明,本文所用的術語“或”一般意在表示“和/或”。在術語因提供分離或組合能力是不清楚的而被預見的情況下,部件或步驟的組合也將視為已被指明。
如在本文的描述和在下面整篇權利要求書中所用,除非另外指明,“一個(a)”、“一個(an)”和“該(the)”包括複數參考物。同樣,如在本文的描述和在下面整篇權利要求書中所用,除非另外指明,“在…中(in)”的意思包括“在…中(in)”和“在…上(on)”。
本發明所示實施例的上述描述(包括在說明書摘要中所述的內容)並非意在詳盡列舉或將本發明限制到本文所公開的精確形式。儘管在本文僅為說明的目的而描述了本發明的具體實施例和本發明的實例,但是正如本領域技術人員將認識和理解的,各種等效修改是可以在本發明的精神和範圍內的。如所指出的,可以按照本發明所述實施例的上述描述來對本發明進行這些修改,並且這些修改將在本發明的精神和範圍內。
本文已經在總體上將系統和方法描述為有助於理解本發明的細節。此外,已經給出了各種具體細節以提供本發明實施例的總體理解。然而,相關領域的技術人員將會認識到,本發明的實施例可以在沒有一個或多個具體細節的情況下進行實踐,或者利用其它裝置、系統、配件、方法、元件、材料、部分等進行實踐。在其它情況下,並未特別示出或詳細描述公知結構、材料和/或操作以避免對本發明實施例的各方面造成混淆。
因而,儘管本發明在本文已參照其具體實施例進行描述,但是修改自由、各種改變和替換意在上述公開內,並且應當理解,在某些情況下,在未背離所提出發明的範圍和精神的前提下,在沒有對應使用其他特徵的情況下將採用本發明的一些特徵。因此,可以進行許多修改,以使特定環境或材料適應本發明的實質範圍和精神。本發明並非意在限制到在下面權利要求書中使用的特定術語和/或作為設想用以執行本發明的最佳方式公開的具體實施例,但是本發明將包括落入所附權利要求書範圍內的任何和所有實施例及等同物。因而,本發明的範圍將只由所附的權利要求書進行確定。
101:半導體基板 102:氧化層 102a:第一氧化層 102b:第二氧化層 1021:第一部分 1022:第二部分 103:犧牲層 104:光阻層 104a:開口 105:溝槽 106:介質隔離層 106a:隔離結構 1061:填充部 1062:凸起部 107:第一阱區 108:第二阱區 109:多晶矽層 109a:閘極結構 S1~S7:步驟
圖1:本實施例中半導體結構的製造方法流程圖。
圖2A:半導體基板的結構示意圖。
圖2B:氧化層的結構示意圖。
圖3:在犧牲層上形成光阻層的結構示意圖。
圖4:形成溝槽的結構示意圖。
圖5:形成介質隔離層的結構示意圖。
圖6:移除位於犧牲層上的介質隔離層的結構示意圖。
圖7:形成隔離結構的結構示意圖。
圖8:形成第一阱區的結構示意圖。
圖9:形成第二阱區的結構示意圖。
圖10:氧化層刻蝕前的結構示意圖。
圖11:對氧化層進行濕式刻蝕後的結構示意圖。
圖12:形成多晶矽層的結構示意圖。
圖13:在多晶矽層上形成光阻層的結構示意圖。
圖14:半導體結構的結構示意圖。
S1~S7:步驟

Claims (10)

  1. 一種半導體結構的製造方法,其中,包括:提供一半導體基板;依次形成一氧化層和一犧牲層於該半導體基板上,其中,該氧化層的厚度為一第一厚度;形成多個溝槽於該半導體基板上,該溝槽從該犧牲層延伸至該半導體基板中;形成一介質隔離層於該些溝槽及該犧牲層上,並移除位於該犧牲層上的該介質隔離層,以形成多個隔離結構;通過離子佈植的方式,形成阱區於該半導體基板中,同時佈植離子對该氧化層的表面進行轟擊,得到一帶有表面缺陷的氧化層;離子佈植形成該阱區之後,通過刻蝕製程對該帶有表面缺陷的氧化層進行處理得到一刻蝕後的氧化層,以使該刻蝕後的氧化層的厚度等於一第二厚度,該第一厚度大於該第二厚度;形成一多晶矽閘極於該刻蝕後的氧化層上。
  2. 如請求項1所述的製造方法,其中,該第一厚度為450-500埃,該犧牲層的厚度為1400-1600埃。
  3. 如請求項1所述的製造方法,其中,該溝槽的深度為2000-9000埃。
  4. 如請求項1所述的製造方法,其中,形成該阱區之前,還包括移除該犧牲層。
  5. 如請求項1所述的製造方法,其中,該阱區包括一第一阱區和一第二阱區。
  6. 如請求項5所述的製造方法,其中,該第一阱區的深度為1.4-1.6微米,該第二阱區的深度為1.4-1.6微米。
  7. 如請求項5所述的製造方法,其中,該隔離結構位於該第一阱區和該第二阱區之間。
  8. 如請求項1所述的製造方法,其中,使用一稀氫氟酸溶液刻蝕該氧化層,該稀氫氟酸溶液中氫氟酸與去離子水的比例為1:300-1:400,刻蝕時間為300-400秒。
  9. 如請求項1所述的製造方法,其中,該第二厚度為380-400埃。
  10. 一種如請求項1至請求項9之其中一者所述的製造方法形成的半導體結構,其中,包括:一半導體基板;一氧化層,位於該半導體基板上;多個隔離結構,位於該半導體基板中,且其頂面高於該半導一體基板表面;一第一阱區,位於該半導體基板中;一第二阱區,位於該半導體基板中,其中,該些隔離結構位於該第一阱區和該第二阱區之間;閘極結構,位於該氧化層上。
TW109125029A 2020-06-05 2020-07-24 半導體結構及其製造方法 TWI786418B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN202010506035.8 2020-06-05
CN202010506035.8A CN111627810B (zh) 2020-06-05 2020-06-05 一种半导体结构及其制造方法

Publications (2)

Publication Number Publication Date
TW202147449A TW202147449A (zh) 2021-12-16
TWI786418B true TWI786418B (zh) 2022-12-11

Family

ID=72273263

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109125029A TWI786418B (zh) 2020-06-05 2020-07-24 半導體結構及其製造方法

Country Status (3)

Country Link
US (1) US11404328B2 (zh)
CN (1) CN111627810B (zh)
TW (1) TWI786418B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112038230A (zh) * 2020-11-05 2020-12-04 晶芯成(北京)科技有限公司 一种半导体外延结构及其制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020013035A1 (en) * 2000-07-19 2002-01-31 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device
US20100163998A1 (en) * 2008-12-29 2010-07-01 Texas Instruments Incorporated Trench isolation comprising process having multiple gate dielectric thicknesses and integrated circuits therefrom
US20130334584A1 (en) * 2012-06-19 2013-12-19 Globalfoundries Singapore Pte. Ltd. Integration of memory, high voltage and logic devices

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5177028A (en) * 1991-10-22 1993-01-05 Micron Technology, Inc. Trench isolation method having a double polysilicon gate formed on mesas
CN1219328C (zh) * 1998-02-19 2005-09-14 国际商业机器公司 具有改善了注入剂的场效应晶体管及其制造方法
US6153494A (en) * 1999-05-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Method to increase the coupling ratio of word line to floating gate by lateral coupling in stacked-gate flash
US6333218B1 (en) * 2000-02-11 2001-12-25 Advanced Micro Devices, Inc. Method of etching contacts with reduced oxide stress
US6677224B2 (en) * 2001-10-12 2004-01-13 Horng-Huei Tseng Method of forming stacked gate for flash memories
TWI248160B (en) * 2002-01-28 2006-01-21 Nanya Technology Corp Manufacturing method of shallow trench isolation
US6890831B2 (en) * 2002-06-03 2005-05-10 Sanyo Electric Co., Ltd. Method of fabricating semiconductor device
KR100487137B1 (ko) * 2002-07-12 2005-05-03 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2004128037A (ja) * 2002-09-30 2004-04-22 Trecenti Technologies Inc 半導体装置の製造方法
US6689665B1 (en) * 2002-10-11 2004-02-10 Taiwan Semiconductor Manufacturing, Co., Ltd Method of forming an STI feature while avoiding or reducing divot formation
KR100543455B1 (ko) * 2003-05-30 2006-01-23 삼성전자주식회사 반도체 소자의 소자분리막 형성방법
US6905941B2 (en) * 2003-06-02 2005-06-14 International Business Machines Corporation Structure and method to fabricate ultra-thin Si channel devices
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
TWI253686B (en) * 2004-08-03 2006-04-21 Powerchip Semiconductor Corp Method of fabricating a gate oxide layer
US7183180B2 (en) * 2004-10-13 2007-02-27 Atmel Corporation Method for simultaneous fabrication of a nanocrystal and non-nanocrystal device
US7105399B1 (en) * 2004-12-07 2006-09-12 Advanced Micro Devices, Inc. Selective epitaxial growth for tunable channel thickness
KR100763228B1 (ko) * 2006-03-20 2007-10-04 삼성전자주식회사 비휘발성 반도체 메모리 소자의 제조 방법
KR100870297B1 (ko) * 2007-04-27 2008-11-25 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7790528B2 (en) * 2007-05-01 2010-09-07 Freescale Semiconductor, Inc. Dual substrate orientation or bulk on SOI integrations using oxidation for silicon epitaxy spacer formation
US8216913B2 (en) * 2007-12-24 2012-07-10 Texas Instruments Incorporated Strain modulation in active areas by controlled incorporation of nitrogen at si-SiO2 interface
CN101728307B (zh) * 2008-10-24 2011-07-20 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的制作方法
US20100187602A1 (en) * 2009-01-29 2010-07-29 Woolsey Debra S Methods for making semiconductor devices using nitride consumption locos oxidation
US8053301B2 (en) * 2009-03-30 2011-11-08 International Business Machines Corporation CMOS SiGe channel pFET and Si channel nFET devices with minimal STI recess
JP2011097029A (ja) * 2009-09-30 2011-05-12 Tokyo Electron Ltd 半導体装置の製造方法
CN102194684B (zh) * 2010-03-12 2013-02-27 中芯国际集成电路制造(上海)有限公司 栅极介质层制造方法
JP5630185B2 (ja) * 2010-09-30 2014-11-26 富士通セミコンダクター株式会社 半導体装置及びその製造方法
DE102010063296B4 (de) * 2010-12-16 2012-08-16 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellungsverfahren mit reduzierter STI-Topograpie für Halbleiterbauelemente mit einer Kanalhalbleiterlegierung
US8525271B2 (en) * 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US20130122684A1 (en) * 2011-11-10 2013-05-16 Teng-Chun Hsuan Semiconductor process for removing oxide layer
CN103531453B (zh) * 2012-07-02 2016-12-21 中芯国际集成电路制造(上海)有限公司 半导体集成器件及其制作方法
US10134895B2 (en) * 2012-12-03 2018-11-20 Stmicroelectronics, Inc. Facet-free strained silicon transistor
US9786752B2 (en) * 2013-03-19 2017-10-10 Rohm Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
CN103258731B (zh) * 2013-05-07 2015-12-02 上海华力微电子有限公司 避免硅衬底表面损伤的方法
US9012988B2 (en) * 2013-08-15 2015-04-21 Vanguard International Semiconductor Corporation Semiconductor device with a step gate dielectric structure
CN105097704B (zh) * 2014-05-04 2018-02-16 中芯国际集成电路制造(上海)有限公司 闪存器件及其形成方法
US10115625B2 (en) * 2016-12-30 2018-10-30 Globalfoundries Singapore Pte. Ltd. Methods for removal of hard mask
CN108807445B (zh) * 2018-08-01 2021-07-20 德淮半导体有限公司 图像传感器的形成方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020013035A1 (en) * 2000-07-19 2002-01-31 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device
US20100163998A1 (en) * 2008-12-29 2010-07-01 Texas Instruments Incorporated Trench isolation comprising process having multiple gate dielectric thicknesses and integrated circuits therefrom
US20130334584A1 (en) * 2012-06-19 2013-12-19 Globalfoundries Singapore Pte. Ltd. Integration of memory, high voltage and logic devices

Also Published As

Publication number Publication date
US11404328B2 (en) 2022-08-02
US20210384083A1 (en) 2021-12-09
TW202147449A (zh) 2021-12-16
CN111627810A (zh) 2020-09-04
CN111627810B (zh) 2022-10-11

Similar Documents

Publication Publication Date Title
US7659179B2 (en) Method of forming transistor using step STI profile in memory device
US20060246666A1 (en) Method of fabricating flash memory with u-shape floating gate
TW200408069A (en) Method of manufacturing a flash memory cell
US11251273B2 (en) Non-volatile memory device and method for manufacturing the same
US7391081B2 (en) Method for simultaneous fabrication of a nanocrystal and non-nanocrystal device
KR100426482B1 (ko) 플래쉬 메모리 셀의 제조 방법
TWI786418B (zh) 半導體結構及其製造方法
JP4834304B2 (ja) 半導体素子の製造方法
CN108010835B (zh) 一种半导体器件及其制作方法、电子装置
KR100490301B1 (ko) 난드 플래시 메모리 소자의 제조 방법
KR100590396B1 (ko) 플래시 메모리 셀의 제조 방법
US6387814B1 (en) Method of fabricating a stringerless flash memory
KR20070118348A (ko) 불휘발성 메모리 장치의 제조 방법
CN114256336A (zh) 一种半导体器件及其制造方法
US20030181007A1 (en) Method for reducing random bit failures of flash memories
JP2006310484A (ja) 半導体装置の製造方法
TWI802316B (zh) 半導體結構之形成方法
KR100427537B1 (ko) 반도체 소자의 소자 분리막 형성 방법 및 이를 이용한플래시 메모리 셀 제조 방법
CN112201660B (zh) 闪存器件的形成方法
CN109461733B (zh) 闪存器件的制造方法
KR20070075092A (ko) 플래시 메모리 소자의 제조방법
KR101037688B1 (ko) 반도체소자의 제조방법
CN118019340A (zh) Sonos存储器制造方法
US6673720B2 (en) Method for improving the reliability of flash memories
CN113972257A (zh) 半导体结构及其形成方法