TWI783462B - 鰭式場效應電晶體的結構及其形成方法 - Google Patents

鰭式場效應電晶體的結構及其形成方法 Download PDF

Info

Publication number
TWI783462B
TWI783462B TW110115298A TW110115298A TWI783462B TW I783462 B TWI783462 B TW I783462B TW 110115298 A TW110115298 A TW 110115298A TW 110115298 A TW110115298 A TW 110115298A TW I783462 B TWI783462 B TW I783462B
Authority
TW
Taiwan
Prior art keywords
sidewall
gate electrode
layer
dummy gate
side wall
Prior art date
Application number
TW110115298A
Other languages
English (en)
Other versions
TW202143310A (zh
Inventor
林士堯
高魁佑
林志翰
張銘慶
陳昭成
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202143310A publication Critical patent/TW202143310A/zh
Application granted granted Critical
Publication of TWI783462B publication Critical patent/TWI783462B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種鰭式場效應電晶體的形成方法包括在一半導體區域上方沉積一虛置閘極介電層;在虛置閘極介電層上方沉積虛置閘極電極層以及進行第一蝕刻製程。虛置閘極電極層的一上方部分被蝕刻而形成虛置閘極電極的上方部分。此方法更包括在虛置閘極電極的上方部分的側壁上形成一保護層,以及進行第二蝕刻製程。虛置閘極電極層的下方部分被蝕刻,以形成虛置閘極電極的下方部分。使用保護層作為蝕刻遮罩進行第三蝕刻製程,以蝕刻虛置閘極電極的下方部分。虛置閘極電極經由第三蝕刻製程逐漸窄縮。去除上述保護層,以及以一替換閘極電極置換虛置閘極電極。

Description

鰭式場效應電晶體的結構及其形成方法
本發明實施例內容是有關於一種鰭式場效應電晶體的結構及其形成方法,特別是有關於一種具有同時滿足性能要求和可靠度要求的鰭式場效應電晶體的結構及其形成方法。
半導體積體電路(integrated circuit,IC)產業已經歷了快速的成長。積體電路(IC)的材料與設計的技術發展已經創造了積體電路的多個世代,且各個世代具有相較於前一世代更小且更複雜的電路。在積體電路演進的歷程中,功能密度(例如單位晶片面積的互連裝置數量)已普遍地增加,同時伴隨幾何尺寸的縮小。這樣的尺寸縮減的過程普遍地為提升生產效率與降低相關成本帶來了好處。
這樣的尺寸縮減也增加了積體電路的加工和製造的複雜性。為了實現這些發展,需要在積體電路的加工和製造上進行類似的開發。例如,鰭式場效電晶體(FinFETs)已被引入以替代平面電晶體。鰭式場效電晶體的結構和製造鰭式場效電晶體之方法正在開發中。
形成鰭式場效電晶體的製造方法通常包括了形成虛置閘極堆疊(dummy gate stacks)以及以替換閘極堆疊(replacement gate stacks)替換前述虛置閘極堆疊。
本發明的一些實施例提供鰭式場效應電晶體的形成方法。此形成方法包括:在一半導體區域上方沉積一虛置閘極介電層(dummy gate dielectric layer);在上述虛置閘極介電層上方沉積一虛置閘極電極層(dummy gate electrode layer);進行一第一蝕刻製程,其中上述虛置閘極電極層的一上方部分被蝕刻,而形成上述虛置閘極電極的一上方部分(an upper portion);在上述虛置閘極電極的上方部分的側壁上形成一保護層(protection layer);進行一第二蝕刻製程(second etching process),其中蝕刻上述虛置閘極電極層的一下方部分,以形成上述虛置閘極電極的一下方部分(a lower portion);使用上述保護層作為一蝕刻遮罩,進行一第三蝕刻製程(third etching process),以蝕刻上述虛置閘極電極的下方部分,其中,上述虛置閘極電極係在上述第三蝕刻製程逐漸窄縮(tapered);去除上述保護層;以及以一替換閘極電極(replacement gate electrode)置換上述虛置閘極電極。
本發明的一些實施例提供一種鰭式場效應電晶體的結構。此結構包括:一突出的半導體鰭部;一第一閘極堆疊(first gate stack),位於前述突出的半導體鰭部之上,其中前述第一閘極堆疊包括一第一側壁(first sidewall),前述第一側壁包括:一第一下方筆直部分(first lower straight portion),前述第一下方筆直部分係具有一第一傾斜角(first tilt angle);及一第一上方筆直部分(first upper straight portion),前述第一上方筆直部分係具有一第二傾斜角(second tilt angle),前述第二傾斜角係大於前述第一傾斜角;以及一第一閘極間隔物(first gate spacer),係接觸前述第一閘極堆疊的前述第一下方筆直部分以及前述第一上方筆直部分。
本發明的一些實施例又提供一種鰭式場效應電晶體的結構。此結構包括:一半導體鰭部;一閘極介電質(gate dielectric)位於前述半導體鰭部的上方;一閘極電極(gate electrode)位於前述閘極介電質的上方,其中前述閘極電極包括:一上方部分(an upper portion),前述上方部分具有一第一側壁(first sidewall);及一下方部分(a lower portion),前述下方部分位於前述上方部分的下方,且前述下方部分與前述上方部分連接,其中前述下方部分具有一第二側壁(second sidewall),前述第二側壁連接前述第一側壁,其中前述第一側壁比起前述第二側壁更為向上筆直(up straight),且前述第一側壁的傾斜角與前述第二側壁的傾斜角之間有一突然的變化(abrupt change);以及一閘極間隔物(gate spacer),係接觸前述第一側壁以及前述第二側壁。
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及一第一部件形成於一第二部件之上方或位於其上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
此外,此處可能使用空間上的相關用語,例如「在…之下」、「在…下方」、「下方的」、「在…上方」、「上方的」及其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。裝置可以被轉至其他方位(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
根據一些實施例,提供了一種具有替換閘極的鰭式場效應電晶體(Fin Field-Effect Transistor,FinFET)及其製造方法,此替換閘極的底端比頂端窄。根據一些實施例,可以控制虛置閘極堆疊的形成,使得虛置閘極堆疊的底端比相應的頂端窄,因此隨後形成替換閘極會更容易,且鰭式場效應電晶體的性能也得到改進。文中討論的實施例係提供了使本揭露得以進行或使用之數個示例,並且所屬技術領域中具有通常知識者可以輕易理解在其他實施例的範圍內可思及之其他改進。在各種視圖和敘述說明的實施例中,相似的參考標號係用於指示相似的元件。此處之方法的實施例雖以特定的順序進行討論,但其他的方法的實施例亦能夠以任何邏輯順序實施執行。
第1-4、5A、5B、6、6A、6B、6C、6D、6E、7-10和11A圖繪示根據一些實施例之形成鰭式場效電晶體的中間階段的透視圖以及/或剖面示意圖。在此些圖式所示的步驟亦示意性地反映於第24圖所示的製程流程200。
如第1圖所示,提供一基底20。基底20可以是半導體基底,例如一塊狀半導體(bulk semiconductor)、一絕緣層上覆半導體(semiconductor-on-insulator;SOI)基底、或其類似物,其可以是已摻雜(如摻雜有p型或n型摻雜物)或者是未摻雜的基底。基底20可以是晶圓10的一部份,例如一矽晶圓的一部份。一般而言,一絕緣層上覆半導體是將一層半導體材料形成在一絕緣層上。此絕緣層可以例如為一埋入式的氧化物(BOX)層、氧化矽層或類似物。將上述絕緣層形成於一基底上,上述基底通常是一矽基底或一玻璃基底。亦可使用其他基底,例如多層基底或漸變基底。在一些實施例中,基底20的半導體材料可包含:矽;鍺;一化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及/或銻化銦;一合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及/或GaInAsP;或上述之組合。
再參照第1圖,在基底20中形成井區(well region)22。在第24圖所示的製作流程200中的相應製程,為製程202。根據本揭露的一些實施例,井區22是通過注入p型雜質(p-type impurity)於基底20中而形成的p型井區,此p型雜質可以是硼、銦、或類似物。根據本揭露的其他實施例,井區22是通過注入n型雜質(n-type impurity)於基底20中而形成的n型井區,此n型雜質可以是磷、砷、銻、或類似物。所形成的井區22可以延伸到基底20的頂面。n型雜質或p型雜質濃度可以等於或小於1018 cm-3 ,例如在大約1017 cm-3 和大約1018 cm-3 範圍之間。
參照第2圖,所形成的隔離區域24是自基底20的一頂面延伸到基底20中。在下文中,隔離區域24亦可稱為淺溝槽隔離(shallow trench isolation ,STI)區域。在第24圖所示的製作流程200中的相應製程,為製程204。基底20在相鄰的淺溝槽隔離區域24之間的部分被稱為半導體條(semiconductor strips)26。為了形成淺溝槽隔離區域24,襯墊氧化層(pad oxide layer)27和硬質遮罩層29形成於半導體基底20上,然後對其進行圖案化。襯墊氧化層27可以是由氧化矽形成的一薄膜。根據本揭露的一些實施例,襯墊氧化層27是在一熱氧化製程(thermal oxidation process)中形成,其中半導體基底20的頂面層被氧化。襯墊氧化層27可作為半導體基底20與硬質遮罩層29之間的一黏著層。襯墊氧化層27還可以作為用於蝕刻硬質遮罩層29時的一蝕刻停止層(etch stop layer)。根據本揭露的一些實施例,硬質遮罩層29例如是通過使用低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)而由氮化矽形成。根據本揭露的其他實施例,硬質遮罩層29通過矽的熱氮化(thermal nitridation)或是電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)而形成。在硬質遮罩層29上形成光阻(未示出),然後將其圖案化。然後,使用圖案化的光阻作為一蝕刻遮罩以對硬質遮罩層29進行圖案化,而形成如第2圖所示的硬質遮罩層29。
接著,將圖案化的硬質遮罩層29作為蝕刻遮罩以蝕刻襯墊氧化層27和基底20,之後以一介電材料填充基底20中所形成的溝槽。進行例如化學機械研磨(chemical mechanical polish,CMP)製程或機械研磨製程(mechanical grinding process)的平坦化製程,以去除介電材料的多餘部分,而介電材料的留下方部分分則成為淺溝槽隔離區域24。淺溝槽隔離區域24可以包括一襯墊介電質(liner dielectric,未示出),其可以是通過對基底20的表面層進行熱氧化所形成的熱氧化物。襯墊介電質也可以是沉積的氧化矽層、氮化矽層、或類似的材料層,其使用例如原子層沉積(atomic layer deposition,ALD)、高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition,HDPCVD)或化學氣相沉積(chemical vapor deposition,CVD)沉積而成。淺溝槽隔離區域24還可以包括在襯墊氧化物上方的一介電材料,其中可以使用流動式化學氣相沉積(flowable chemical vapor deposition;FCVD)、旋轉塗佈(spin-on coating)法或其他類似方法來形成上述介電材料。根據一些實施例,在襯墊介電質上方的介電材料可以包括氧化矽。
硬質遮罩層29的頂面和淺溝槽隔離區域24的頂面可實質上彼此齊平。半導體條26位於相鄰的淺溝槽隔離區域24之間。根據本揭露的一些實施例,半導體條26是原本基底20的一部分,因此半導體條26的材料與基底20的材料相同。在本揭露的一些其他實施例中,半導體條26是通過淺溝槽隔離區域24之間的基底20的部分進行蝕刻以形成凹部(recesses),並且進行一磊晶製程,以在凹部中再生長其他的半導體材料而形成的替換條(replacement strips)。因此,半導體條26是由不同於基底20的半導體材料所形成。根據一些實施例,半導體條26由矽鍺、矽碳或III-V族化合物半導體材料所形成。
參照第3圖,使淺溝槽隔離區域24下凹,因而使半導體條26的頂部突出而高於淺溝槽隔離區域24的其餘部分的頂面24A,而形成突出的鰭部(protruding fins)26’。在第24圖所示的製作流程200中的相應製程,為製程206。使淺溝槽隔離區域24下凹的蝕刻步驟可使用一乾式蝕刻製程來施行,其中例如使用HF3 與NH3 作為蝕刻氣體。在上述蝕刻製程中,可產生電漿。亦可於上述蝕刻製程中加入氬(Argon)。根據本揭露提出的其他一些實施例中,可使用一溼式蝕刻製程來進行淺溝槽隔離區域24的凹陷,其蝕刻劑可包含例如氫氟酸(HF)。
在上述實施例中,可透過任何適當的方法將鰭部圖案化。舉例而言,可使用一或多道光學微影製程將鰭部圖案化,光學微影製程包含雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程結合光學微影技術與自對準(self-aligned)製程,這使得所形成的圖案的節距(pitch)小於使用單一或直接的光學微影製程所獲得的圖案的節距。例如,在一實施例中,形成一犧牲層於基底之上,並且使用光學微影製程使犧牲層圖案化。使用自對準製程形成間隔物(spacers)於犧牲層旁邊。接著移除犧牲層,且使用留下的間隔物或芯軸(mandrels)對鰭部進行圖案化。
第4圖繪示根據一些實施例之虛置閘極堆疊(dummy gate stack)34的形成,虛置閘極堆疊34包括虛置閘極介電層(dummy gate dielectric layer)28、虛置閘極電極層(dummy gate electrode layer)30以及硬質遮罩層32。在第24圖所示的製作流程200中的相應製程,為製程208。虛置閘極介電層28是形成在突出鰭部26’的側壁和頂面上。根據一些實施例,虛置閘極介電層28可以使用一共形沉積製程(conformal deposition process)而形成,包括例如原子層沉積(ALD)、化學氣相沉積(CVD)、或類似的沉積製程。虛置閘極介電層28的材料可包括氧化矽、氮化矽、碳氮化矽、 或類似材料。根據一些其他的實施例,虛置閘極介電層28的形成可以包括氧化突出的鰭部26’之表面部分的頂面(例如使用一熱氧化製程)。所形成的虛置閘極介電層28包括氧化物形成於突出的鰭部26’的暴露表面上,但是不形成於淺溝槽隔離區域24的頂面上。虛置閘極介電層28的形成可以包含或不包含一沉積製程。圖中虛線是用來表示,在淺溝槽隔離區域24的頂部上的虛置介電層28的某些部分,是可以形成或者可以不形成的,這取決於形成製程。
虛置閘極電極層30係沉積在虛置閘極介電層28上。虛置閘極電極層30可以使用例如包含多晶矽或非晶矽的材料來形成,亦可以使用其他材料來形成。形成製程可以包含一沉積製程,之後進行一平坦化製程(planarization process)。然後,於虛置閘極電極層30的上方沉積硬質遮罩層32。硬質遮罩層32可以是由氮化矽、氧化矽、氧碳氮化矽、或多層的前述材料所形成。
接著,參照第5A圖,硬質遮罩層32被圖案化,例如,通過使用圖案化的光阻(未示出)作為蝕刻遮罩來蝕刻,從而形成硬質遮罩層32’。在第24圖所示的製作流程200中的相應製程,為製程210。
第5B圖示出如第5A圖所示的參考垂直剖面5B-5B。在第5A,5B圖和後續圖式中,示出了突出的鰭部26’的頂表面26T的高度。而且,虛置閘極介電層28由一介電材料形成或是包括一介電材料,此介電材料可以是(或可以不是)與淺溝槽隔離區域24的材料相同的材料。因此,虛置閘極介電層28在第5B圖中示出,但是可能在後續圖式中將不單獨示出。因此,在隨後的圖式中所繪示的淺溝槽隔離區域24的頂表面的部分可以被認為是虛置閘極介電層28。
在隨後的製程中,將虛置閘極電極層30和可能形成的虛置閘極介電層28圖案化,並使用硬質遮罩32'作為一蝕刻遮罩。如第6圖所示,至此形成虛置閘極電極30’。虛置閘極堆疊34’可以具有比起上方部分(upper portions)更窄和更錐形的下方部分(lower portions)(也稱為窄縮部分),以及虛置閘極電極30’的細節係如第6E圖所示。
第6A、6B、6C、6D和6E圖示出了根據一些實施例的對虛置閘極電極層30進行圖案化的中間階段。參照第6A圖,使用硬質遮罩32’作為一蝕刻遮罩,進行第一蝕刻製程(first etching process)36,此第一蝕刻製程36是一種非等向性蝕刻製程(anisotropic etching process)。根據一些實施例,製程氣體包括蝕刻氣體和產生副產物的氣體(byproduct-generating gas)兩者。蝕刻氣體可以包括Cl2 、HBr、CF4 、CHF3 、CH2 F2 、CH3 F、C4 F6 、或前述氣體之組合。產生副產物的氣體可包括氮氣(N2 )、氧氣(O2 )、SO2 、CO2 、CO、或前述氣體之組合。也可以將例如Ar、He、Ne等的其他氣體添加到製程氣體中。根據本揭露的一些實施例,利用在大約10瓦至大約3,000瓦之間的範圍內的來源功率來進行此蝕刻製程。偏壓功率(bias power)高於大約200瓦,並且可以在大約10瓦(watts)和大約3,000瓦之間的範圍內。製程氣體的壓力可以在約1毫托(mTorr)和約800毫托(mTorr)之間的範圍內。製程氣體的流速可以在約1 sccm (standard cubic centimeter per minute)至約5,000sccm之間的範圍內。
在蝕刻製程36中,形成的虛置閘極電極30’的表面層與產生副產物的氣體進行反應,以形成副產物層(byproduct layer)38,副產物層38包括在虛置閘極電極30’的新形成部分的側壁上的部分。在第24圖所示的製作流程200中的相應製程,為製程212。副產物層38可以形成(或者可以不形成,如第6F圖所示)在硬質遮罩32’的表面上,這取決於硬質遮罩32'的成分。副產物層38可以包括Siw Ox Ny Cz 、SiBra Clb Oc 、或其類似物,取決於製程氣體。為了產生副產物層38,並且在隨後的製程中使副產物層38足夠厚以作為一蝕刻遮罩,係引入更多的產生副產物的氣體。例如,產生副產物的氣體的流速(flow rate)相對於蝕刻氣體的流速的比例可以高於約40,並且此比例可以在約1至約1,000之間的範圍內。隨著蝕刻製程36的進行,副產物層38向下延伸,如第6B圖所示。副產物層38的厚度T1可以在約2埃(Å)至約300(Å)之間的範圍內。在蝕刻製程36中,開啟電漿。各個晶圓的溫度可以在約0℃至約150℃之間的範圍內。
根據一些實施例,在蝕刻製程36之後,停止蝕刻氣體,並且引入產生副產物的氣體以增加副產物層38的厚度。各個產生副產物的氣體可以包括N2 、O2 、 SO2 、CO2 、CO、SiCl4 、或類似氣體、或前述氣體之組合。在此製程中,可以開啟電漿。此製程又稱為一副產物增厚製程(byproduct-thickening process)。根據一些實施例,副產物增厚製程是與蝕刻製程36(以及隨後進行的蝕刻製程40(第6C圖))原位(in-situ) (在相同的製程腔室內)進行的,並且在這些製程之間沒有真空中斷(no vacuum break)。根據一些其他的實施例,副產物增厚製程是與製程36和製程40是異位(ex-situ)(在不同製程腔室內)進行的,其間有真空破壞。異位進行的副產物增厚製程可以使用N2 、O2 、 SO2 、CO2 、CO、或類似氣體、或前述氣體之組合,以做為製程氣體。
根據一些其他替代性的實施例,使用一化學溶液進行副產物增厚製程,此化學溶液可以包括溶解在去離子水中的臭氧以及/或CO2 ,並且將晶圓10浸入用來形成副產物層38的化學溶液中。
根據又一些其他替代性的實施例,在蝕刻製程36中,製程氣體包括蝕刻氣體,並且不含產生副產物的氣體。因此,在蝕刻製程36中,沒有副產物層產生。副產物層38是通過副產物增厚製程產生的,此副產物增厚製程可包括如上所述的原位,異位或濕式過程。根據一些實施例,在原位製程、異位製程或濕式製程中,類似於如第6G圖所示的保護層38',副產物層38也形成在虛置閘極電極層30的未蝕刻部分的露出的水平表面上。根據其他實施例,在一些原位製程或異位製程中,副產物層38形成(或增厚)於側壁上,而不形成在虛置閘極電極層30的未蝕刻部分的水平表面上。
參照第6C圖,在進行第一蝕刻製程36之後,進行非等向性(anisotropic)的第二蝕刻製程(second etching process)40,以進一步蝕刻虛置閘極電極層30,直到蝕穿虛置閘極電極層30以產生虛置閘極電極30’。在第24圖所示的製作流程200中的相應製程,為製程214。在第二蝕刻製程40中,開啟電漿。此時可以對虛置閘極介電層28進行圖案化,或者此時可以不進行圖案化。使用蝕刻氣體進行第二蝕刻製程40,此蝕刻氣體可以包括Cl2 、HBr、CF4 、CHF3 、CH2 F2 、CH3 F、C4 F6 、或前述氣體之組合。根據一些實施例,製程氣體不含任何產生副產物的氣體,其可以包括氮氣(N2 )、氧氣(O2 )、SO2 、CO2 、CO、或類似物。根據一些其他的實施例,製程氣體包括一種或多種產生副產物的氣體。然而,與蝕刻製程36相比,產生副產物的氣體的流速會更低。如果蝕刻製程36和40中產生副產物的氣體的流速分別表示為BPFR36 和BPFR40 ,則BPFR40 /BPFR36 的比例可以小於約0.2或0.1,並且可以在約0和約0.2或0.1之間的範圍內。另一方面,蝕刻製程36和40中的蝕刻氣體的流速可以彼此相等、或者可以彼此不同。因此,在蝕刻製程40中實質上不產生新的副產物層,或者儘管通過蝕刻製程40產生了副產物層38,但是新產生的副產物層38的厚度T2係小於厚度T1。例如,在第6C圖中,虛線用於示出在蝕刻製程40中產生的副產物層38,此副產物層38具有厚度T2。根據一些實施例, T2/T1的比例係小於約0.2或0.1,並且可以在0和約0.2或0.1之間的範圍內。
第6C圖示出了幾個實施例,其中示出了副產物層38的底端38BE的幾個可能位置。還示出了突出的鰭部26’的頂表面26T的高度。在各種實施例中,底端38BE可以高於突出的鰭部26’的頂表面26T、與頂表面26T齊平、或低於頂表面26T。例如,副產物層38的底端38BE可以比鰭部的頂表面26T高出一高度差D1,此高度差D1可以是在0nm至大約50nm之間的範圍內。副產物層38的底端38BE可以比鰭部的頂表面26T低了一高度差D2,此高度差D2可以是在0nm和大約100nm之間的範圍內。
參照第6D圖,在形成虛置閘極電極30’之後,進行蝕刻製程42,此製程也稱為虛置閘極電極30’的一重塑製程(reshaping process)。在第24圖所示的製作流程200中的相應製程,為製程216。根據一些實施例,使用可以蝕刻虛置閘極電極30’的製程氣體來進行蝕刻製程42,此製程氣體可以從Cl2 、HBr、CF4 、CHF3 、CH2 F2 、CH3 F、C4 F6 、或前述氣體之組合而作選擇。製程氣體可以不含產生副產物的氣體,例如氮氣(N2 )、氧氣(O2 )、SO2 、CO2 、CO、或前述氣體之組合,或者可以包括少量產生副產物的氣體以調節蝕刻製程。因此,不再有副產物層產生。可以使用在大約10瓦至大約3,000瓦之間的範圍內的來源功率以進行蝕刻製程42。製程氣體的壓力可以在約1毫托(mTorr)和約800毫托(mTorr)之間的範圍內。製程氣體的流速可以在約1sccm至約5,000sccm之間的範圍內。與在製程36和40中所使用的偏壓功率相比,蝕刻製程42的偏壓功率更低,使得蝕刻製程42除了非等向性效應之外,還具有一些等向性效應。蝕刻製程42的偏壓功率可以小於蝕刻製程36和40中使用的偏壓功率的約40%,並且可以在蝕刻製程36和40所使用的偏壓功率的約5%至約80%之間。根據一些實施例,蝕刻製程42中使用的偏壓功率可以低於約100瓦,並且可以在約10瓦至約3,000瓦之間的範圍內。
根據蝕刻製程42的結果,在一些實施例中,虛置閘極電極30’被重新塑形(reshaped),並且在第6D圖中示出了所得的結構。所形成的虛置閘極堆疊34’,其在被圖案化時可以包括圖案化的虛置閘極介電質28’(第7圖),或者如果不被圖案化則不包括虛置閘極介電質28’。在蝕刻製程42中,副產物層38部分的保護虛置閘極電極30’的下方部分30A’,此下方部分30A’低於副產物層38的底端38BE。因此,副產物層38又可稱為保護層。由於蝕刻製程42也具有等向性的作用,因此在虛置閘極電極30’的下方部分30A’上也有側向蝕刻。下方部分30A’的上方部分受到更多的保護,並且側向蝕刻對其不太有影響。下方部分30A’的下方部分受到的保護較少,受到的側向蝕刻更為明顯。結果,下方部分30A’是錐形的(tapered)。在整個說明內容中,下方部分30A’也稱為窄縮部分(narrowing portion)。
根據一些實施例,下方部分30A'具有傾斜的側壁和直的側壁(straight sidewalls)。下方部分30A'的頂部寬度W2大於下方部分30A'的底部寬度W3。根據一些實施例,下方部分30A'的頂部寬度W2與底部寬度W3的一差值(亦即,W2-W3)係大於約3埃(Å)。下方部分30A’的高度H1可以大於大約300埃(Å)。下方部分30A’的側壁的傾斜角θ1小於90度,並且可以小於約88度,或者是在約80度至約88度之間的範圍內。
上方部分30B'(具有頂部寬度W1)則可以具有直的側壁,並且是比下方部分30A’更垂直的側壁,其中傾斜角θ2大於傾斜角θ1。根據一些實施例,傾斜角θ2等於或小於90度。傾斜角θ2與θ1的差值(亦即,θ2-θ1)係超過大約2度、大約5度、或者大約10度,並且可以在大約1度和大約30度之間的範圍內。上方部分30B’的高度H2可以大於大約40nm,並且可以在大約10nm至大約200nm之間的範圍內。
在蝕刻製程42之後,例如在一蝕刻製程中去除副產物層38。在第24圖所示的製作流程200中的相應製程,為製程218。第6E圖中示出了所得的結構。接下來,如第7圖所示,在虛置閘極堆疊34’的側壁上形成閘極間隔物(gate spacers)46。在第24圖所示的製作流程200中的相應製程,為製程220。根據本揭露的一些實施例,閘極間隔物46是以一介電材料來形成,介電材料例如是氮化矽、碳氮化矽、或其他類似材料,並可以是一單層結構、或者包含複數個介電層的一多層結構。
然後進行一蝕刻製程。蝕刻未被虛置閘極堆疊34'和閘極間隔物46覆蓋的突出鰭部26'的部分,從而得到第8圖所示的結構。在第24圖所示的製作流程200中的相應製程,為製程222。蝕刻製程可以是非等向性的(anisotropic),因此直接位於虛置閘極堆疊34'和閘極間隔物46正下方的突出鰭部26'的部分受到保護,而未被蝕刻。根據本揭露的一些實施例,凹陷的半導體條26的頂表面可以低於淺溝槽隔離區域24的頂表面24A,因而形成凹部(recesses)50。此些凹部50包括位於虛置閘極堆疊34'的相對側上的一些部分,以及包括位於突出的鰭部26'的留下部分之間的一些部分。
接著,藉由在凹部50內選擇性地成長(透過磊晶方式)一半導體材料,以形成磊晶區(源極/汲極區)54,而成為第9圖所繪示的結構。在第24圖所示的製作流程200中的相應製程,為製程224。根據欲形成的鰭式場效電晶體是p型鰭式場效電晶體、或是n型鰭式場效電晶體,而在磊晶製程期間原位(in-situ)的摻雜p型雜質或n型雜質。例如,當欲形成的鰭式場效電晶體為一p型鰭式場效電晶體,可以成長矽鍺硼(silicon germanium boron;SiGeB)、矽硼(silicon boron;SiB)、或類似物。相反地,當欲形成的鰭式場效電晶體為一n型鰭式場效電晶體,可以成長矽磷(silicon phosphorous;SiP)、矽碳磷(silicon carbon phosphorous;SiCP)、或類似物。根據本揭露的一些其他的實施例,磊晶區54包括III-V族化合物半導體,例如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、上述之組合、或上述材料形成的多層結構。在凹部50填充有磊晶區54之後,磊晶區54進一步的磊晶成長會造成磊晶區54水平地擴展,而可能形成複數個刻面(facets)。磊晶區54進一步的磊晶成長也可能造成相鄰的磊晶區54彼此合併。相鄰的磊晶區54合併後也可能產生孔隙(voids)(空氣隙(air gaps))56。
在上述磊晶製程之後,可進一步將p型雜質或n型雜質植入磊晶區54,以形成源極與汲極,在此仍使用元件符號54表示。根據本揭露的一些其他實施例,在磊晶的過程中,若磊晶區54已經被原位摻雜(in-situ doped)p型雜質或n型雜質,則可省略此處敘述的佈植步驟。
第10圖繪示形成接觸蝕刻停止層(contact etch stop layer;CESL)58以及層間介電質(inter-layer dielectric;ILD)60後的結構的透視圖。在第24圖所示的製作流程200中的相應製程,為製程226。接觸蝕刻停止層58可以是以氧化矽、氮化矽、碳氮化矽、或其他類似材料來形成,且可以使用化學氣相沉積(CVD)、原子層沉積(ALD)、或其他沉積方式形成。層間介電質60可包含使用例如流動式化學氣相沉積(FCVD)、旋轉塗佈法(spin-on coating)、化學氣相沉積、或其他沉積方式形成的一介電材料。層間介電質60亦可以採用一含氧介電材料(oxygen-containing dielectric material)而形成,其可以是矽氧類材料,例如四乙氧基矽烷(Tetra Ethyl Ortho Silicate;TEOS)氧化物、磷矽玻璃(Phospho-Silicate Glass;PSG)、硼矽玻璃(Boro-Silicate Glass;BSG)、硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)、或其他類似材料來形成。可以進行一平坦化步驟,例如化學機械研磨(CMP)或是一機械研磨(mechanical grinding),以使層間介電質60、虛置閘極堆疊34’與閘極間隔物46的頂面彼此互相齊平。
然後,去除虛置閘極堆疊34’。在突出的鰭部26’上的虛置閘極介電層的部分也被去除,以暴露出突出的鰭部26’。替換閘極堆疊(replacement gate stacks)66以及自對準硬質遮罩(self-aligned hard mask)80則形成於所造成的溝槽。所形成的結構如第11A圖所示。在第24圖所示的製作流程200中的相應製程,為製程228。替換閘極堆疊66包括閘極介電質62和對應的閘極電極64。閘極介電質62可以包含一界面層(interfacial layer;IL)(未示出)以及一高介電常數介電層(high-k dielectric layer)形成於界面層的上方。界面層是形成在突出的鰭部26’露出的表面上。此界面層可包括氧化物層,例如氧化矽層,且此氧化物層可以是經由突出的鰭部26’的熱氧化、一化學性氧化製程、或一沉積製程而形成。高介電常數介電層包括一高介電常數介電材料(high-k dielectric material),例如氧化鉿(hafnium oxide)、氧化鑭(lanthanum oxide)、氧化鋁(aluminum oxide)、氧化鋯(zirconium oxide)、或其類似物。
請再參照第11A圖,閘極電極64形成於閘極介電質62上。閘極電極64可包含多個堆疊層,其可以包括一擴散阻障層(diffusion barrier layer)(一蓋層(capping layer))以及一或多個功函數層(work-function layer)位於擴散阻障層之上。擴散阻障層可以由氮化鈦形成,且氮化鈦可以(或可以沒有)摻雜矽、氮化鈦矽、或類似物。功函數層決定了閘極電極64的功函數,並且包括至少一層結構或由不同材料形成的多層結構。閘極電極64還可以包括一金屬填充區域(metal-filling region),此金屬填充區域可以是由鈷、鎢、其合金、或其他金屬、或金屬合金所形成,或者此金屬填充區域包括了鈷、鎢、其合金、或其他金屬或其他金屬合金的材料。
接著,進行例如化學機械研磨(CMP)製程或機械研磨製程的平坦化製程,以使替換閘極堆疊66的頂表面與層間介電質60的頂表面共平面(coplanar)。在後續製程中,係回蝕刻(etch back)替換閘極堆疊66,從而在相對的閘極間隔物46之間形成凹部。接下來,在替換閘極堆疊66上方形成硬質遮罩80。根據本揭露的一些實施例,硬質遮罩80的形成包括一沉積製程以形成一毯覆式的介電材料(blanket dielectric material),以及一平坦化製程以去除在閘極間隔物46和層間介電質60上方過多的介電材料。硬質遮罩80可以由例如氮化矽或其他類似的介電材料形成。由此形成鰭式場效電晶體(FinFET)81。
第11B-1、11B-2和11B-3圖示出了根據一些實施例的替換閘極堆疊66的剖面示意圖,其中,這些剖面示意圖是從在第11A圖中的參考剖面11B-11B所得的。儘管第11B-1、11B-2和11B-3圖未示出結構中的這些突出鰭部26',因為它們是位於與圖示平面不同的平面中,但第11B-1、11B-2和11B-3圖中標示出了突出鰭部26'的頂表面26T和底端26B的位置,且突出鰭部26'是在頂表面26T和底端26B之間延伸。替換閘極堆疊66的下方部分66A’ ’替換,並且因此具有與第6D圖中的虛置閘極電極30’的下方部分30A’相同的輪廓。結果,下方部分30A’是錐形的。在整個說明內容中,下方部分66A’也稱為窄縮部分。替換閘極堆疊66的上方部分66B’替換,並且因此具有與第6D圖中的虛置閘極電極30’的上方部分30B’相同的輪廓。因此,第11B-1、11B-2和11B-3圖中的傾斜角θ1和θ2與參照第6D圖中的傾斜角θ1和θ2所討論的內容相同,在此不再贅述。而且,替換閘極堆疊66的側壁輪廓與第6D圖中的虛置閘極電極30’的側壁輪廓。
第11B-1圖示出了實施例,其中下方部分66A'和相應的上方部分66B'的接合平面(joining level)82高於突出的鰭部26’的頂表面26T。第11B-2圖示出了實施例,其中,接合平面82與突出的鰭部26’的頂表面26T齊平。第11B-3圖示出了其中接合平面82低於突出的鰭部26’的頂表面26T的實施例。接合水平82的調整可包括調整何時從第一蝕刻製程36(第6B圖)轉換到第二蝕刻製程40(第6C圖),調整壓力、功率、氣體流量以及第一蝕刻製程36和第二蝕刻製程40的蝕刻時間。例如,增加蝕刻製程的壓力和功率可以幫助在虛置閘極電極30’的下方部分的側壁上形成足夠厚的副產物層,因此可以幫助實現第11B-3圖中的實施例。還應理解的是,由於閘極介電質62的高介電常數的介電層可以是共形的(conformal),因此上述的替換閘極堆疊66的傾斜角也可以等於閘極電極64的相應部分的側壁的傾斜角。
根據一些實施例,兩個直接相鄰的替換閘極堆疊66可具有彼此不同的接合平面82。例如,其中一組兩個直接相鄰的替換閘極堆疊66可以採用如第11B-1、11B-2和11B-3圖其中一者所示的一個實施例,而另一組兩個直接相鄰的替換閘極堆疊66則可採用如第11B-1、11B-2和11B-3圖所示的實施例中的另一個不同的實施例。
第6F、6G、6H和6I圖示出了根據一些替代性實施例的虛置閘極堆疊34’的形成。除非另有說明,否則這些實施例(以及第12-23圖中的實施例)中各組件的材料和形成過程係與相同的組件基本上都相同,在第1-4、5A、5B、6、6A、6B、6C、6D和6E圖所示的前述實施例中,這些組件由相同的附圖標記表示。因此,關於第6F、6G、6H和6I圖以及第12-23圖所示的部件的形成製程和材料的細節,可以參照前述實施例的討論。
起始製程與第1-4、5A和5B圖所示相同。接著,參照第6F圖,進行蝕刻製程40(也表示為40A)。其製程細節係與第6C圖中的蝕刻製程40所討論的相同,請參照第6C圖。因此,係蝕刻虛置閘極電極層30,並且沒有形成副產物層。請參照第6G圖,沉積保護層38',例如使用原子層沉積(ALD)、化學氣相沉積(CVD) 、電漿輔助原子層沉積(PEALD) 、電漿輔助化學氣相沉積(PECVD)、或類似製程進行沉積。保護層38’的材料可以包括SiN、SiON、SiCON、SiC、SiOC、SiO2 、或類似物。保護層38’的厚度可以在大約2埃(Å)和大約300埃(Å)之間的範圍內。接下來,參考第6H圖,進行另一蝕刻製程40(表示為40B),其使用與第6C圖中所討論之基本上相同的製程條件來進行。因此,將虛置閘極電極層30被蝕穿,而形成虛置閘極電極30’。
第6I圖繪示出了蝕刻製程42,此蝕刻製程42用於重塑虛置閘極電極30’的輪廓。製程細節可以參照第6D圖而得知,在此不再重複贅述。還可以參照第6D圖的討論得知虛置閘極電極30’的輪廓。然後,通過蝕刻去除保護層38’。第7~11A、11B-1、11B-2和11B-3圖係繪示出了用於形成鰭式場效電晶體(FinFET) 81的其餘製程。
如第6D和6I圖所示,虛置閘極電極30’具有一個窄縮部分(下方部分30A')和一個過渡區域(transition region),在此過渡區域以下的部分開始變窄。根據一些其他的替代性實施例,虛置閘極電極可以具有一個以上(例如兩個、三個、四個、甚至更多個) 窄縮部分。第12圖至第15圖示出了形成具有兩個窄縮部分的虛置閘極堆疊34’的製程,其下方部分的側壁比各自的上方部分的側壁傾斜得更多。此製程可以從如第6D圖所示的結構開始,並且所製得的相應的結構係如第12圖所示。形成如第12圖所示的結構的製程可以包括第一蝕刻製程36(第6A和6B圖)、第二蝕刻製程 40(第6C圖)以及第三蝕刻製程42(第6D圖)。
接著,參照第13圖,進行另一蝕刻製程36(表示為36B)。蝕刻製程36的細節可以參照第6A圖和第6B圖及其內容,且製程氣體包括蝕刻氣體和產生副產物的氣體。由於蝕刻是非等向性的,下方部分30A’實際上未被蝕刻。同時,副產物層38向下延伸到虛置閘極電極30’的傾斜側壁上。根據一些實施例,副產物層38的底端的位置係通過調節相應的製程來而決定。例如,將製程氣體的壓力調整至不過高也不過低。如果壓力太高,則副產物層38向下延伸太多,甚至可能在虛置閘極電極30’的整個表面上形成為一共形層(conformal layer)。如果壓力太低,則副產物層38不能向下延伸所需的距離。根據一些實施例,壓力在大約1毫托(mTorr)和大約800毫托(mTorr)之間的範圍內。類似地,太高的來源功率可能導致副產物層38向下延伸太多,而太低的源功率可能導致副產物層38向下延伸不足。根據一些實施例,來源功率在約10瓦至約3,000瓦之間的範圍內。此蝕刻製程36B的偏壓功率係低於在前述之第一蝕刻製程36(第6A和6B圖)、第二蝕刻製程 40(第6C圖)中所使用的偏壓功率,以便於在蝕刻製程中具有非等向性蝕刻和等向性蝕刻的效果。根據一些實施例,偏壓功率在約10瓦至約3,000瓦之間的範圍內。此外,N2 比O2 更具活性,並且更多的N2 可導致副產物層38延伸更多。根據一些實施例,相較於第6A和6B圖中的蝕刻過程36,如第13圖所示的蝕刻製程係具有較高(例如,比蝕刻過程36高出50%以上)的N2 流量,以及/或具有較低(例如,比蝕刻過程36的50%還低)的O2 的流量。
第14圖示出了另一蝕刻製程42(表示為42C)。其製程條件可以與第6D圖中的製程條件基本上相同。替代性的,與第6D圖所示的製程42中所使用的偏壓功率相比,第14圖所示的製程42 C可以進一步降低偏壓功率。因此,在副產物層38的底端38BE下面的虛置閘極電極30’的部分被蝕刻,並且其側壁變得更傾斜(也可以是直的)。下方部分30A’因此而包括了部分30A1’和部分30A2’,其分別具有傾斜角θ3和傾斜角θ1。傾斜角θ3小於傾斜角θ1,而傾斜角θ1則小於傾斜角θ2。根據一些實施例,傾斜角θ2與傾斜角θ1的角度差(亦即,θ2-θ1)和傾斜角θ1與傾斜角θ3的角度差(亦即,θ1-θ3)係大於約2度、5度或10度,並且可以在大約1度和大約30度之間的範圍內。
第15圖示出了替換閘極堆疊66,其替換了第14圖中的虛置閘極堆疊34'。所得到的替換閘極堆疊66具有與虛置閘極堆疊34'相同的輪廓,並且具有如第14圖所示之傾斜角θ1、θ2和θ3,請參照第14圖之討論內容。第15圖還示出了突出的鰭部26'的頂表面26T的可能的幾個水平位置。
第16至23圖示出了根據一些實施例的用於選擇性的重塑(reshaping)虛置閘極堆疊34’的製程。同樣,這些實施例的製程和材料的細節可以參照前述實施例中討論的相似的製程和材料。參照第16圖,形成淺溝槽隔離區域24以延伸到基底20中。並且形成虛置閘極介電層28、虛置閘極電極層30和硬質遮罩32’。其形成製程與第1-4、5A和5B圖所示的製程基本上相同,在此不再贅述。再次,第16圖中所示的剖面圖是穿過淺溝槽隔離區域24,且虛置閘極介電層28和淺溝槽隔離區域24均是介電質,因此在隨後的圖式中並未個別的示出虛置閘極介電層28。
第17圖示出了蝕刻製程36,其細節可以參考第6A和6B圖及其相關說明。由此製程係形成副產物層38。接著,參照第18圖,進行蝕刻製程40,以蝕穿虛置閘極電極層30並形成虛置閘極電極30’。蝕刻製程36和40的細節可以參照前述實施例的相關說明。沒有通過蝕刻製程40而新形成的副產物層,或者如果形成副產物層的話是很薄,類似如第6C圖所示。同樣,副產物層38的底端可以高於、或者低於突出的鰭部26’的頂表面26T(第18圖中係示出可能的幾個水平位置)。
第19圖示出了根據一些實施例的蝕刻遮罩84的形成,其可以是光阻。蝕刻遮罩84部分的或全面的保護一些虛置閘極電極30’,且未覆蓋一些其他的虛置閘極電極30’。接著,參照第20圖,係進行蝕刻製程42。蝕刻製程42的細節可以參照前述實施例的內容說明。暴露於蝕刻氣體的虛置閘極電極30’的側壁被再次塑形。重塑的側壁的輪廓可以與第6D圖所示的重塑的側壁的輪廓基本上相同,並且可以參照第6D圖的討論內容的相關細節。被蝕刻遮罩84保護的虛置閘極電極30′的其他側壁沒有被整形。此外,還示出了一個示例,其中最右邊的虛置閘極電極30’的右側壁沒有被重新塑形,因為右側壁的距離足夠接近蝕刻遮罩,使得蝕刻氣體難以到達側壁。在整個說明書內容中,將重塑的(因而更傾斜的)側壁表示為30SL(其中SL表示“傾斜”的(slanted)),將未重塑的(因此更筆直的)側壁表示為30ST(其中ST表示“更直” 的(straighter))。另外,所使用的字母“ A”、“ B”、“ C”、“ D”、或類似標記係用以識別各個側壁。虛置閘極電極30’的輪廓可參照第22圖和第23圖的討論內容。
接著,去除蝕刻遮罩84,並且在第21圖中示出所得的結構。然後,去除副產物層38,並且在第22圖中示出所得的結構。用於形成鰭式場效電晶體(FinFET)的其餘製程係與與製造在第7-10、11A、11B-1、11B-2和11B-3圖中所示出的鰭式場效電晶體(FinFET)81製程類似。在第23圖中示出了對應的替換閘極堆疊66,其中其替換閘極堆疊66係替換了虛置閘極堆疊34’。
第22和23圖係示出了例如虛置閘極電極30'和替換替換閘極堆疊66的傾斜邊緣以及傾斜角θ1和θ2的輪廓。虛置閘極電極30'和替換閘極堆疊66的一些側壁中,具有傾斜的下方部分比起相應的上方部分還要更多。例如,下方側壁部分(lower sidewall portions)30SLA、30SLB、30SLC和30SLD比起相應側壁的相應上方部分更傾斜。另一方面,一些其他下方側壁部分30STA、30STB、30STC和30STD及其相應的上方部分則形成連續的直側壁。此外,一個虛置閘極電極30’(以及相應的替換閘極電極),例如從第22圖的右側開始計數的第二虛置閘極電極30’,可以具有一個傾斜的下側壁,而相對的側壁則是筆直的並且傾斜較少。一些虛置閘極電極30’可以具有側壁,其兩個下方部分均比其上方部分更為傾斜,並且一些其他虛置閘極電極30’可以具有側壁,其兩個下方部分均具有與其相應的上方部分相同的傾斜角。
此外,第15圖中的實施例也可以應用於第16圖至第23圖中的實施例,以使得每一個傾斜的側壁30SLA、30SLB、30SLC和30SLD可以具有一個以上的筆直和傾斜的部分,例如大約2個至大約10個之間的筆直和傾斜的部分,且每一個下方部分是筆直的並且比各自的上方部分越來越傾斜。
根據一些實施例,一些鰭部之間的距離S1係小於距離S2。例如,距離S2/距離S1的比例係大於約2.0。具有距離S1的虛置閘極電極30’被視為在一圖案密集區域(pattern-dense region)中,而具有距離S2的虛置閘極電極30’被視為在一圖案疏鬆區域(pattern-iso region)中。而虛置閘極電極和替換閘極電極的側壁輪廓可能受到各個鰭式場效電晶體(FinFET)是否位於圖案密集區域或位於圖案隔離區域的影響,且可能是不希望產生這種側壁的輪廓的。根據本揭露的一些實施例,通過在所選擇的區域中形成如上述之蝕刻遮罩84,虛置閘極電極30'的側壁的輪廓是可以控制的,並且不會由各個虛置閘極電極30'是處於圖案密集區域、還是處於圖案疏鬆區域來決定。並且可以通過蝕刻遮罩84而單獨進行調整。
如第23圖所示的實施例可用於同時滿足性能要求(performance requirement)和可靠度要求(reliability requirement)。例如,對於具有窄縮的下方部分(narrowing lower portions)的虛置閘極電極,各個電晶體具有更良好的性能。然而,這些電晶體的虛置閘極電極容易塌陷。另一方面,具有筆直的虛置閘極電極的鰭式場效電晶體(FinFET)較不容易塌陷,但所得之鰭式場效電晶體(FinFET)的性能可能不如下方部分窄縮的鰭式場效電晶體(FinFET)的性能那麼好。通過採用如第16-23圖所示的實施例,對性能要求較高的鰭式場效電晶體(FinFET)可以採用傾斜的側壁,而其他的鰭式場效電晶體(FinFET)可以採用直的側壁,以具有更好的良率。
本揭露的實施例具有一些有利的特徵。藉由蝕刻虛置閘極電極以使其具有逐漸窄縮的下方部分,可以改善所得的鰭式場效電晶體(FinFET)的性能,並提高飽和電流。通過為選定的虛置閘極電極選擇性地形成傾斜的側壁,可以平衡對於提高性能和可靠度的要求。
根據本揭露的一些實施例,一種鰭式場效應電晶體的形成方法,包括:在一半導體區域上方沉積一虛置閘極介電層(dummy gate dielectric layer);在上述虛置閘極介電層上方沉積一虛置閘極電極層(dummy gate electrode layer);進行一第一蝕刻製程,其中上述虛置閘極電極層的一上方部分被蝕刻,而形成上述虛置閘極電極的一上方部分(an upper portion);在上述虛置閘極電極的上方部分的側壁上形成一保護層(protection layer);進行一第二蝕刻製程(second etching process),其中蝕刻上述虛置閘極電極層的一下方部分,以形成上述虛置閘極電極的一下方部分(a lower portion);使用上述保護層作為一蝕刻遮罩,進行一第三蝕刻製程(third etching process),以蝕刻上述虛置閘極電極的下方部分,其中,上述虛置閘極電極係經由上述第三蝕刻製程逐漸窄縮(tapered);去除上述保護層;以及以一替換閘極電極(replacement gate electrode)置換上述虛置閘極電極。在一實施例中,在進行上述第一蝕刻製程時,係同時形成上述保護層。在一實施例中,係使用一製程氣體進行上述第一蝕刻製程,其中上述製程氣體包括一蝕刻氣體和一產生副產物的氣體(byproduct-generating gas)。在一實施例中,如上述之鰭式場效應電晶體的形成方法更包括:在進行上述第二蝕刻製程之後以及進行上述第三蝕刻製程之前,形成一額外的蝕刻遮罩(additional etching mask),以覆蓋一另外的虛置閘極電極;以及在上述第三蝕刻製程之後,去除上述蝕刻遮罩。在一實施例中,上述保護層為通過上述第一蝕刻製程而產生的一副產物層(byproduct layer),且上述保護層包括矽原子和氧原子。在一實施例中,上述保護層更包括溴(bromine)以及氯(chlorine)。在一實施例中,所進行的上述第一蝕刻製程以及上述第二蝕刻製程為非等向性的(anisotropic) ,而所進行的上述第三蝕刻製程則具有一非等向性的效應(anisotropic effect)以及一等向性的效應(isotropic effect)。在一實施例中,沉積上述覆蓋層以及浸置上述覆蓋層皆在相同的一製程腔室中進行。在一實施例中,係通過蝕刻而達到去除上述保護層。
根據本揭露的一些實施例,一種鰭式場效應電晶體的結構包括:一突出的半導體鰭部;一第一閘極堆疊(first gate stack),位於前述突出的半導體鰭部之上,其中前述第一閘極堆疊包括一第一側壁(first sidewall),前述第一側壁包括:一第一下方筆直部分(first lower straight portion),前述第一下方筆直部分係具有一第一傾斜角(first tilt angle);及一第一上方筆直部分(first upper straight portion),前述第一上方筆直部分係具有一第二傾斜角(second tilt angle),前述第二傾斜角係大於前述第一傾斜角;以及一第一閘極間隔物(first gate spacer),係接觸前述第一閘極堆疊的前述第一下方筆直部分以及前述第一上方筆直部分。在一實施例中,第一閘極堆疊更包括一第二側壁(second sidewall),其中前述第二側壁包括:一第二下方筆直部分(second lower straight portion),前述第二下方筆直部分係具有前述第一傾斜角;以及一第二上方筆直部分(second upper straight portion),前述第二上方筆直部分係具有前述第二傾斜角(second tilt angle)。在一實施例中,前述第一閘極堆疊更包括一第二側壁,前述第二側壁相對於前述第一側壁,且其中前述第二側壁的一全部整體(an entirety)實質上是筆直的。在一實施例中,如上所述之鰭式場效應電晶體的結構更包括:一第二閘極堆疊(second gate stack),且前述第二閘極堆疊包括一第三側壁,且其中前述第三側壁的一全部整體(an entirety)實質上是筆直的。在一實施例中,前述第一閘極堆疊的前述第一側壁更包括:一底部筆直部分(bottom straight portion),前述底部筆直部分係低於前述第一下方筆直部分,且前述底部筆直部分連接前述第一下方筆直部分,其中前述底部筆直部分具有一第三傾斜角(third tilt angle),前述第三傾斜角係小於前述第一傾斜角。在一實施例中,前述第二傾斜角以及前述第一傾斜角之間的一差值(difference)係大於約5度。
根據本揭露的一些實施例,一種鰭式場效應電晶體的結構包括:一半導體鰭部;一閘極介電質(gate dielectric)位於前述半導體鰭部的上方;一閘極電極(gate electrode)位於前述閘極介電質的上方,其中前述閘極電極包括:一上方部分(an upper portion),前述上方部分具有一第一側壁(first sidewall);及一下方部分(a lower portion),前述下方部分位於前述上方部分的下方,且前述下方部分與前述上方部分連接,其中前述下方部分具有一第二側壁(second sidewall),前述第二側壁連接前述第一側壁,其中前述第一側壁比起前述第二側壁更為向上筆直(up straight),且前述第一側壁的傾斜角與前述第二側壁的傾斜角之間有一突然的變化(abrupt change);以及一閘極間隔物(gate spacer),係接觸前述第一側壁以及前述第二側壁。在一實施例中,前述閘極電極更包括一底部(bottom portion)位於前述下方部分的下方,且前述底部連接前述下方部分,其中前述底部具有一第三側壁(third sidewall),前述第三側壁連接至前述第二側壁,其中前述第三側壁比起前述第二側壁更為傾斜,且前述第二側壁的傾斜角與前述第三側壁的傾斜角之間有一突然的變化(abrupt change)。在一實施例中,前述上方部分更包括一第三側壁,前述第三側壁相對於前述第一側壁,且前述下方部分更包括一第四側壁(fourth sidewall),前述第四側壁相對於前述第二側壁,其中前述第三側壁的傾斜角與前述第四側壁的傾斜角之間有一突然的變化(abrupt change)。在一實施例中,前述上方部分更包括一第三側壁,前述第三側壁相對於前述第一側壁,且前述下方部分更包括一第四側壁(fourth sidewall),前述第四側壁相對於前述第二側壁,其中前述第三側壁以及前述第四側壁為一相同的連續的且筆直的側壁的部分。在一實施例中,前述閘極電極包括金屬(metal),而前述閘極介電質包括一高介電常數之介電材料(high-k dielectric material)。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
10:晶圓 20:基底 22:井區 24:隔離區域(淺溝槽隔離區域) 24A:淺溝槽隔離區域的頂面 26:半導體條 26’:突出的鰭部 26T:突出的鰭部的頂表面 26B:突出的鰭部的底端 27:襯墊氧化層: 28:虛置閘極介電層 28’:虛置閘極介電質 29:硬質遮罩層 30:虛置閘極電極層 30’:虛置閘極電極 30A’,30A1’,30A2’,66A’:下方部分 30B',66B’:上方部分 32:硬質遮罩層 32’:硬質遮罩 34,34':虛置閘極堆疊 36,36B,40,40A,40B,42,42C:蝕刻製程 38:副產物層 38’:保護層 38BE:副產物層的底端 46:閘極間隔物 50:凹部 54:磊晶區(源極/汲極區) 56:孔隙 58:接觸蝕刻停止層 60:層間介電質 62:閘極介電質 64:閘極電極 66:替換閘極堆疊 80:自對準硬質遮罩 81:鰭式場效電晶體 82:接合平面 84:蝕刻遮罩 30SLA,30SLB,30SLC,30SLD:重塑的下方側壁 30STA,30STB,30STC,30STD:未重塑的下方側壁 W1:上方部分的頂部寬度 W2:下方部分的頂部寬度 W3:下方部分的底部寬度 H1:下方部分的高度 H2:上方部分的高度 T1,T2:副產物層的厚度 D1,D2:高度差 θ1,θ2,θ3:傾斜角 5B-5B:剖面 S2,S1:距離 200:流程 202,204,206,208,210,212,214,216,218,220,222,224,226,228:製程
藉由以下的詳細描述配合所圖式式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1-4、5A、5B、6、6A、6B、6C、6D、6E、7-10和11A圖係繪示根據本揭露一些實施例之形成鰭式場效電晶體(FinFET)的中間階段的透視圖以及/或剖面示意圖。 第6F、6G、6H和6I圖示出了係繪示根據本揭露一些實施例的形成一虛置閘極電極的中間階段的剖面示意圖,其中虛置閘極電極具有超過一個的窄縮部分。 第11B-1、11B-2和11B-3係繪示根據本揭露一些實施例的替換閘極之側壁的過渡平面(transition level)相對於鰭部頂部的高度的剖面示意圖。 第12圖至第15圖係繪示根據本揭露一些實施例的形成具有兩個過渡區域的替換閘極的中間階段的剖面示意圖。 第16至23圖係繪示根據本揭露一些實施例之形成具有過渡區域的替換閘極的中間階段的剖面示意圖,其中被選擇的替換閘極的選定的側壁形成過渡區域。 第24圖繪示根據本揭露一些實施例之形成一鰭式場效電晶體的製作流程。
200:流程
202,204,206,208,210,212,214,216,218,220,222,224,226,228:製程

Claims (14)

  1. 一種鰭式場效應電晶體的形成方法,包括:在一半導體區域上方沉積一虛置閘極介電層(dummy gate dielectric layer);在該虛置閘極介電層上方沉積一虛置閘極電極層(dummy gate electrode layer);進行一第一蝕刻製程,其中該虛置閘極電極層的一上方部分被蝕刻,而形成一虛置閘極電極的一上方部分(an upper portion);在該虛置閘極電極的該上方部分的側壁上形成一保護層(protection layer);進行一第二蝕刻製程(second etching process),其中蝕刻該虛置閘極電極層的一下方部分以形成該虛置閘極電極的一下方部分(a lower portion);在進行該第二蝕刻製程之後,形成一額外的蝕刻遮罩(additional etching mask),以覆蓋一另外的虛置閘極電極;在形成該額外的蝕刻遮罩之後,使用該保護層作為一蝕刻遮罩,進行一第三蝕刻製程(third etching process),以蝕刻該虛置閘極電極的該下方部分,其中,該虛置閘極電極係經由該第三蝕刻製程而逐漸窄縮(tapered);在進行該第三蝕刻製程之後,去除該額外的蝕刻遮罩;去除該保護層;以及以一替換閘極電極(replacement gate electrode)置換該虛置閘極電極。
  2. 如請求項1所述之鰭式場效應電晶體的形成方法,其中在進行該第一蝕刻製程時,係同時形成該保護層。
  3. 如請求項2所述之鰭式場效應電晶體的形成方法,其中係使用一製程氣體進行該第一蝕刻製程,其中該製程氣體包括一蝕刻氣體和一產生 副產物的氣體(byproduct-generating gas)。
  4. 如請求項1-3中任一項所述之鰭式場效應電晶體的形成方法,其中該保護層為通過該第一蝕刻製程而產生的一副產物層(byproduct layer),且該保護層包括矽原子和氧原子。
  5. 如請求項4所述之鰭式場效應電晶體的形成方法,其中該保護層更包括溴(bromine)以及氯(chlorine)。
  6. 如請求項1-3中任一項所述之鰭式場效應電晶體的形成方法,其中所進行的該第一蝕刻製程以及該第二蝕刻製程為非等向性的(anisotropic),而所進行的該第三蝕刻製程則具有一非等向性的效應(anisotropic effect)以及一等向性的效應(isotropic effect)。
  7. 如請求項1-3中任一項所述之鰭式場效應電晶體的形成方法,其中該第一蝕刻製程以及該第二蝕刻製程是以一第一偏壓功率進行,該第三蝕刻製程是以一第二偏壓功率進行,且該第二偏壓功率小於該第一偏壓功率。
  8. 一種鰭式場效應電晶體的結構,包括:一突出的半導體鰭部;一第一閘極堆疊(first gate stack),位於該突出的半導體鰭部之上,其中該第一閘極堆疊包括一第一側壁(first sidewall),該第一側壁包括:一第一下方筆直部分(first lower straight portion),該第一下方筆直部分係具有一第一傾斜角(first tilt angle);一第一上方筆直部分(first upper straight portion),該第一上方筆直部分係具有一第二傾斜角(second tilt angle),該第二傾斜角係大於該第一傾斜角;及一底部筆直部分(bottom straight portion),該底部筆直部分係低於該第一下方 筆直部分,且該底部筆直部分連接該第一下方筆直部分,其中該底部筆直部分具有一第三傾斜角(third tilt angle),該第三傾斜角係小於該第一傾斜角;以及一第一閘極間隔物(first gate spacer),係接觸該第一閘極堆疊的該第一下方筆直部分以及該第一上方筆直部分。
  9. 如請求項8所述之鰭式場效應電晶體的結構,其中該第一閘極堆疊更包括一第二側壁(second sidewall),其中該第二側壁包括:一第二下方筆直部分(second lower straight portion),該第二下方筆直部分係具有該第一傾斜角;以及一第二上方筆直部分(second upper straight portion),該第二上方筆直部分係具有該第二傾斜角(second tilt angle)。
  10. 如請求項8所述之鰭式場效應電晶體的結構,其中該第一閘極堆疊更包括一第二側壁,該第二側壁相對於該第一側壁,且其中該第二側壁的一全部整體(an entirety)實質上是筆直的。
  11. 如請求項8所述之鰭式場效應電晶體的結構,更包括:一第二閘極堆疊(second gate stack),且該第二閘極堆疊包括一第三側壁,且其中該第三側壁的一全部整體(an entirety)實質上是筆直的。
  12. 一種鰭式場效應電晶體的結構,包括:一半導體鰭部;一閘極介電質(gate dielectric)位於該半導體鰭部的上方;一閘極電極(gate electrode)位於該閘極介電質的上方,其中該閘極電極包括:一上方部分(an upper portion),該上方部分具有一第一側壁(first sidewall); 一下方部分(a lower portion),該下方部分位於該上方部分的下方,且該下方部分與該上方部分連接,其中該下方部分具有一第二側壁(second sidewall),該第二側壁連接該第一側壁,其中該第一側壁比起該第二側壁更為向上筆直(up straight),且該第一側壁的傾斜角與該第二側壁的傾斜角之間有一突然的變化(abrupt change);及一底部(bottom portion)位於該下方部分的下方,且該底部連接該下方部分,其中該底部具有一側壁連接至該下方部分的該第二側壁,且該底部的該側壁比起該第二側壁更為傾斜;以及一閘極間隔物(gate spacer),係接觸該第一側壁以及該第二側壁。
  13. 如請求項12所述之鰭式場效應電晶體的結構,其中該下方部分的該第二側壁的傾斜角與該底部的該側壁的傾斜角之間有一突然的變化(abrupt change)。
  14. 如請求項12所述之鰭式場效應電晶體的結構,其中該上方部分更包括一第三側壁,該第三側壁相對於該第一側壁,且該下方部分更包括一第四側壁(fourth sidewall),該第四側壁相對於該第二側壁,其中該第三側壁的傾斜角與該第四側壁的傾斜角之間有一突然的變化(abrupt change),或該第三側壁以及該第四側壁為一相同的連續的且筆直的側壁的部分。
TW110115298A 2020-05-05 2021-04-28 鰭式場效應電晶體的結構及其形成方法 TWI783462B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/867,158 2020-05-05
US16/867,158 US11302581B2 (en) 2020-05-05 2020-05-05 Gate profile control through sidewall protection during etching

Publications (2)

Publication Number Publication Date
TW202143310A TW202143310A (zh) 2021-11-16
TWI783462B true TWI783462B (zh) 2022-11-11

Family

ID=76921583

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110115298A TWI783462B (zh) 2020-05-05 2021-04-28 鰭式場效應電晶體的結構及其形成方法

Country Status (5)

Country Link
US (2) US11302581B2 (zh)
KR (1) KR102379100B1 (zh)
CN (1) CN113178417A (zh)
DE (1) DE102020112763B4 (zh)
TW (1) TWI783462B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230317462A1 (en) * 2022-03-09 2023-10-05 Tokyo Electron Limited Etching of Polycrystalline Semiconductors
WO2023196717A1 (en) * 2022-04-05 2023-10-12 Tokyo Electron Limited Lateral etching of silicon

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201614838A (en) * 2014-10-06 2016-04-16 Taiwan Semiconductor Mfg Co Ltd Semiconductor device and methods for forming the same
TW202002089A (zh) * 2018-06-15 2020-01-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9520474B2 (en) * 2013-09-12 2016-12-13 Taiwan Semiconductor Manufacturing Company Limited Methods of forming a semiconductor device with a gate stack having tapered sidewalls
US9991285B2 (en) * 2013-10-30 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming FinFET device
US10269651B2 (en) 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10096712B2 (en) * 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
US10446662B2 (en) * 2016-10-07 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing metal gate overhang by forming a top-wide bottom-narrow dummy gate electrode
US10204905B2 (en) * 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10777466B2 (en) 2017-11-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin cutting process and structures formed thereby
US10515955B1 (en) 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier
US10840153B2 (en) * 2018-06-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Notched gate structure fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201614838A (en) * 2014-10-06 2016-04-16 Taiwan Semiconductor Mfg Co Ltd Semiconductor device and methods for forming the same
TW202002089A (zh) * 2018-06-15 2020-01-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Also Published As

Publication number Publication date
DE102020112763B4 (de) 2023-08-10
TW202143310A (zh) 2021-11-16
US20220238387A1 (en) 2022-07-28
CN113178417A (zh) 2021-07-27
KR102379100B1 (ko) 2022-03-25
US20210351281A1 (en) 2021-11-11
KR20210135916A (ko) 2021-11-16
US11302581B2 (en) 2022-04-12
DE102020112763A1 (de) 2021-11-11

Similar Documents

Publication Publication Date Title
US10868139B2 (en) Controlling profiles of replacement gates
TWI755106B (zh) 半導體結構及其形成方法
US11948971B2 (en) Confined source/drain epitaxy regions and method forming same
CN111834297A (zh) 集成电路器件及用于制作集成电路器件的方法
TWI752436B (zh) 半導體結構的形成方法
TWI783462B (zh) 鰭式場效應電晶體的結構及其形成方法
US20200006114A1 (en) Negatively Sloped Isolation Structures
TWI755178B (zh) 半導體元件及其製造方法
US20230011474A1 (en) Fin Bending Reduction Through Structure Design
CN115249703A (zh) 半导体装置
TW202203373A (zh) 半導體裝置及其形成方法
TW202240665A (zh) 半導體裝置
TWI775371B (zh) 半導體裝置及其形成方法
TWI755002B (zh) 半導體結構及其形成方法
TWI809473B (zh) 形成鰭式場效電晶體之方法
TWI778507B (zh) 半導體元件及其形成方法
US11842929B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230369334A1 (en) Fin field-effect transistor and method of forming the same
TWI794665B (zh) 半導體裝置及其形成方法
TW202414608A (zh) 半導體裝置及其製造方法
TW202410205A (zh) 半導體裝置以及其製作方法
TW202310063A (zh) 製造半導體裝置的方法
KR20230115199A (ko) 얇은 실리콘 캡을 적용한 게이트 스택 형성 방법
TW202349712A (zh) 半導體裝置結構及其形成方法
TW202318487A (zh) 半導體結構的製造方法