TWI781432B - 針對污染控制進行改良的光罩及其形成方法 - Google Patents

針對污染控制進行改良的光罩及其形成方法 Download PDF

Info

Publication number
TWI781432B
TWI781432B TW109128276A TW109128276A TWI781432B TW I781432 B TWI781432 B TW I781432B TW 109128276 A TW109128276 A TW 109128276A TW 109128276 A TW109128276 A TW 109128276A TW I781432 B TWI781432 B TW I781432B
Authority
TW
Taiwan
Prior art keywords
layer
pattern
photomask
absorbing layer
over
Prior art date
Application number
TW109128276A
Other languages
English (en)
Other versions
TW202109208A (zh
Inventor
賴建宏
張浩銘
林佳仕
王宣文
許有心
石志聰
吳于勳
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202109208A publication Critical patent/TW202109208A/zh
Application granted granted Critical
Publication of TWI781432B publication Critical patent/TWI781432B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明實施例係關於一種光罩,其包含:一基板;一多層堆疊,其安置於該基板上方且經組態以反射一輻射;一罩蓋層,其在該多層堆疊上方;及一抗反射層,其在該罩蓋層上方。該抗反射層包括一第一圖案,其中該第一圖案暴露該罩蓋層且組態為一可印刷特徵。該光罩亦包含從一俯視視角來看與該可印刷特徵隔開之一吸收體。

Description

針對污染控制進行改良的光罩及其形成方法
本發明實施例係有關針對污染控制進行改良的光罩及其形成方法。
在先進半導體技術中,裝置大小之持續縮減及日益複雜之電路配置已使積體電路(IC)之設計及製造更具挑戰性且昂貴。為了以更小佔據面積及更少功率追求更佳裝置效能,已調查先進微影技術(例如,極紫外線(EUV)微影)作為用於製造具有一相對較小線寬(例如,30 nm或更小)之半導體裝置之方法。EUV微影採用一光罩來控制在EUV輻射下對一基板之輻照,以在基板上形成一圖案。
雖然已改善現有微影技術,但其等在許多態樣中仍未能滿足要求。例如,在EUV微影程序期間藉由外界粒子之污染繼續引起重大問題。
本發明的一實施例係關於一種光罩,其包括:一基板;一多層堆疊,其安置於該基板上方且經組態以反射一輻射;一罩蓋層,其在該多層堆疊上方;一抗反射層,其在該罩蓋層上方,該抗反射層包括一第一圖案,其中該第一圖案暴露該罩蓋層且組態為一可印刷特徵(printable feature);及一吸收體,其從一俯視視角來看與該可印刷特徵分開。
本發明的一實施例係關於一種光罩,其包括:一基板;一第一吸收層,其在該基板上方;一多層堆疊,其安置於該第一吸收層上方且包括交替之鉬層及矽層;一罩蓋層,其在該多層堆疊上方;一第二吸收層,其在該罩蓋層上方;及一溝槽,其延伸穿過該第一吸收層、該罩蓋層及該多層堆疊且暴露該第二吸收層之一部分。
本發明的一實施例係關於一種形成一光罩之方法,該方法包括:在一基板上方沈積一第一吸收層;在該第一吸收層上方形成一多層堆疊,該多層堆疊經組態以反射一輻射束;在該多層堆疊上方沈積一罩蓋層;在該罩蓋層上方沈積一第二吸收層;及蝕刻該第二吸收層以形成一第一圖案及一第二圖案,其中該第一圖案待在一光微影操作期間轉印至一工件,且其中該第二圖案組態為用於該工件之一不可印刷(non-printable)特徵。
下列揭露提供用於實施所提供標的物之不同特徵之許多不同實施例或實例。下文描述組件及配置之特定實例以簡化本揭露。當然,此等僅為實例且不旨在限制。例如,在下列描述中,一第一構件形成於一第二構件上方或上可包含其中第一構件及第二構件經形成而直接接觸之實施例,且亦可包含其中額外構件可形成於第一構件與第二構件之間,使得第一構件及第二構件可未直接接觸之實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複出於簡單及清楚之目的,且本身不指示所論述之各種實施例及/或組態之間之一關係。
此外,為便於描述,可在本文中使用諸如「在…下面」、「在…下方」、「下」、「在…上方」、「上」及類似者之空間相對術語來描述一個元件或構件與另一(些)元件或構件之關係,如圖中所繪示。除圖中所描繪之定向之外,空間相對術語亦旨在涵蓋裝置在使用或操作中之之不同定向。設備可以其他方式定向(旋轉70度或成其他定向)且因此可同樣解釋本文中所使用之空間相對描述符。
儘管闡述本揭露之廣範疇之數值範圍及參數係近似值,但儘可能精確地報告在具體實例中闡述之數值。然而,任何數值固有地含有必然因各自測試量測中通常存在之偏差引起之某些誤差。再者,如本文中所使用,術語「約」、「實質」及「實質上」一般意謂在一給定值或範圍之10%、5%、1%或0.5%內。替代地,術語「約」、「實質」及「實質上」在藉由一般技術者考量時意謂在平均值之一可接受標準誤差內。除了在操作/工作實例中以外,或除非另有明確指定,否則本文中所揭示之全部數值範圍、量、值及百分比(諸如材料數量之數值範圍、量、值及百分比)、持續時間、溫度、操作條件、數量比及其類似者應被理解為在全部例項中皆藉由術語「約」、「實質」及「實質上」修飾。因此,除非有相反指示,否則在本揭露及隨附發明申請專利範圍中闡述之數值參數係可視需要變化之近似值。最起碼,各數值參數至少應依據所報告之有效位之數字且藉由應用一般捨入技術來解釋。範圍可在本文中被表達為自一個端點至另一端點或在兩個端點之間。除非另有指定,否則本文中所揭示之全部範圍皆包含端點。
一極紫外線(EUV)光罩通常為包含電路圖案且在一光微影操作期間透過入射EUV輻射之反射將圖案化EUV輻射轉移至晶圓上之一反射遮罩。EUV光罩之佈局包含電路圖案安置於其中之一成像區。光罩至少包含在一光反射層上方之一光吸收層,其中光吸收層經圖案化以在其上形成電路圖案。光罩一般包含在光吸收層與光反射層之間之一罩蓋層。圖案化EUV光自光反射層反射,穿過罩蓋層及經圖案化光吸收層且輻射至晶圓上。EUV光罩之微影效能對污染敏感,此係因為污染粒子可能黏附至罩蓋層或光反射層之表面且在罩蓋層或光反射層上形成一額外材料層。EUV光之光傳播路徑可能歸因於經添加材料層而更改,且可能不利地影響電路圖案之保真度(例如,邊界(boundary)清晰度)。
本揭露提供一種光罩及一種製造光罩之方法。在所提出之光罩中,一污染物吸收體形成於光罩之一或多個層上且用於減少或消除例如在一超高真空(UHV)環境下在光反射層或罩蓋層上之污染之累積之影響。一第一類型污染物吸收體提供於光罩之邊框(border)區中,其具有污染物吸收材料以增大污染吸收之面積。一第二類型污染物吸收體形成於罩蓋層中,其中吸收體特徵形成於光吸收層中以暴露罩蓋層之部分用於吸收污染物。第一類型及第二類型污染物吸收體可單獨或組合利用。運用上文提及之污染物吸收體,減少歸因於累積污染物之罩蓋層或光反射層上方之材料之有效厚度,且因此減低用於清潔光罩之閒置時間及成本。因此,改善光罩之使用壽命及操作週期。
圖1係根據一些實施例之一微影系統100之一方塊圖。微影系統100在所描繪之實例中係一EUV微影系統,但其可為其他類型之微影系統,諸如一深紫外線(DUV)微影系統或一透射型微影系統。微影系統100包含一照明源102、一照明光學模組104、一光罩模組106、一投影光學模組108及一晶圓載物台110。應理解,其他模組可併入至微影系統100,但為簡單起見,其等在圖1中未展示。
照明源102可操作以產生具有適用於微影之一波長(例如,小於約50奈米(nm)及在一些情況中甚至小達約10 nm至15 nm之一波長)之輻射束。明確言之,可針對EUV微影系統將輻射束之波長設定為約13.5 nm。在一些實施例中,照明源102在一雷射產生電漿(LPP)或一放電產生電漿(DPP)系統中產生輻射束,其中使用一高功率雷射產生一高能電漿以藉此形成輻射束。在一些實施例中,照明源102包含用於產生輻射束之一真空腔室。因此,微影系統100可歸因於輻射束之小波長而達成電路圖案之改良解析度。
照明光學模組104係由用於收集、導引或整形來自照明源102之傳入光使其至光罩模組106之一或多個光學組件形成。例如,照明光學模組104可包含用於收集由照明源102產生之輻射束之一集光器。照明光學模組104亦可包含用於反射輻射之複數個鏡。鏡之材料經選擇以最小化輻射束之輻射吸收。在一些實施例中,鏡可包含交替之鉬(Mo)層及矽(Si)層之一堆疊以減少對輻射束之吸收。在一些情況中,亦可利用一額外抗吸收塗層以進一步減少輻射吸收。在一些實施例中,照明光學模組104經圍封於一真空腔室中以減少藉由環境氣體之輻射吸收之影響。
光罩模組106包含經組態以固持一光罩之一光罩載物台,其中光罩透過圖案化來自照明光學模組104之入射輻射束而將其上之電路圖案轉印至一目標,諸如晶圓載物台110上之一晶圓。在一些實施例中,光罩包含一多層結構。光罩在本實施例中係一反射型光罩(諸如一相移遮罩),但其在其他實施例中亦可為一透射型光罩。相移遮罩可為一衰減相移遮罩(AttPSM)或一交替相移遮罩(AltPSM)。光罩之結構在後續段落中更詳細描述。
輻射束自照明光學模組104引導至光罩模組106中之光罩,且接著發射至投影光學模組108。投影光學模組108可包含一或多個反射鏡、透鏡、聚光器等。在一些實施例中,投影光學模組108可包含環場光學組件。在一些實施例中,投影光學模組108包含形狀像一弧形以容許圖案化輻射束通過而至晶圓載物台110上之晶圓之一孔隙(或一狹縫)。在各項實施例中,光罩模組106安置於投影光學模組108上方。
晶圓載物台110經組態以固持待圖案化之一晶圓。在一些實施例中,晶圓載物台110包含用於使用電子力固定晶圓之一電子卡盤(E卡盤)。在其他實施例中,晶圓載物台110包含用於機械地固定晶圓之夾具。晶圓載物台110可包含用於在微影操作期間使晶圓移動使得可連續地步進及掃描晶圓之各個區的定位裝置。在一些實施例中,晶圓載物台110定位在投影光學模組108下面。
圖2A係根據一些實施例之一光罩200之一示意性剖面圖。光罩200可用作圖1中所繪示之光罩模組106中之用於執行EUV微影操作之光罩,即,其與具有在約1 nm與100 nm之間(例如,13.5 nm)之一波長之一輻射源相容。然而,光罩200亦可適用於深UV (DUV)或其他適合波長。在其中光罩200構造為一反射遮罩之一實施例中,透過入射輻射經由光罩200之反射形成用於一晶圓之一圖案化輻射。參考圖2A,光罩200之結構包含一基板201、一污染物吸收層202、一多層堆疊204、一罩蓋層206及一光吸收層208。
基板201係由一低熱膨脹(LTE)材料(諸如熔融矽石、熔融石英、矽、碳化矽、黑金剛石及其他低熱膨脹物質)形成。在一些實施例中,基板201用於減少起因於遮罩加熱之影像失真。在本實施例中,基板201包含一低缺陷位準及一平滑表面之材料性質。在一些實施例中,基板201透射一預定光譜之光,諸如可見光波長、接近可見光譜之紅外線波長(近紅外)及紫外線波長。在一些實施例中,基板201吸收EUV波長及DUV波長。
光罩200被分區為一成像區210及一邊框區220,其中邊框區220橫向地包圍且界定成像區210。在一些實施例中,邊框區220包含定界成像區210之一溝槽220R。邊框區220亦用於防止成像區210之邊界周圍之過量輻射洩漏至晶圓之鄰近晶粒場中。為此,應使成像區210與邊框區220之間之反射率之比儘可能大。在一實施例中,邊框區220具有低於約0.1%之一反射率。在一實施例中,邊框區220具有低於約0.05% (例如,0.01%)之一反射率。
污染物吸收層202形成於基板201上方。在一些實施例中,邊框區220之溝槽220R延伸穿過光吸收層208、罩蓋層206及多層堆疊204。污染物吸收層202之一部分透過溝槽220R暴露。污染物吸收層202之暴露部分用於吸收黏附至光罩200之殘留污染物。例如,污染物吸收層202與碳氫化合物粒子(諸如CO2 、CO、C2 H4 及CH4 )起反應以在其上形成碳基層。碳基層趨於吸收照射輻射束,特別是高能EUV光,且因此降低圖案化輻射束之強度。減弱之圖案化輻射束可導致不足曝光且產生寬度比預期小之圖案。在一些實施例中,罩蓋層206上之碳基層相對於一隔離圖案之累積厚度大於罩蓋層206上之碳基層相對於一密集配置圖案之累積厚度,此係因為密集配置圖案使相同量之污染物散佈在大於隔離圖案之接觸面積之一接觸面積上方。在污染物吸收層202之幫助下,原本將由成像區210中之罩蓋層206吸收之碳氫化合物粒子藉由污染物吸收層202透過物理吸附或化學吸附之程序捕獲。因此,可減少污染物對成像區210之不利影響。污染物吸收層202被稱為第一類型污染物吸收體。污染物吸收層202可具有在約0.05 nm與約20 nm之間、在約0.1 nm與約10 nm之間或在約0.5 nm與約5 nm之間之一厚度。
在一些實施例中,污染物吸收層202係由具有強催化性質以促進氣態污染物之吸收之一材料形成。在一些實施例中,污染物吸收層202之材料包含釕(Ru)、鉑(Pt)、銠(Rh)、鈀(Pd)、銥(Ir)或類似者。在其他實施例中,污染物吸收層202係由Ru、Ti、Ce、Zr或Al之氧化物(例如,RuO2 、TiO2 、CeO2 、ZrO2 、Al2 O3 或類似者)形成。
此外,污染物吸收層202具有相對於EUV輻射之一低反射率,以防止輻射束從溝槽220R向外洩漏。在一實施例中,污染物吸收層202具有低於約0.1%之一反射率。在一實施例中,污染物吸收層202具有低於約0.05% (例如,0.01%)之一反射率。
多層堆疊204形成於污染物吸收層202之一前側201f上方。多層堆疊204用作光罩200之一輻射反射層。多層堆疊204可包含對,其中各對係由鉬(Mo)層及矽(Si)層形成。交替之Mo層及Si層之數目(即,Mo/Si對之數目)以及Mo層及Si層之厚度經判定以促進個別反射射線之相長干涉(例如,布拉格反射(Bragg reflection)),且因此提高多層堆疊204之反射率。在一些實施例中,多層堆疊204之反射率針對所關注波長(例如,13.5 nm)大於約60%。在一些實施例中,多層堆疊204中之Mo/Si對之數目在約20個與約80個之間,例如,40個。此外,在一些實施例中,Mo層之各者或Si層之各者具有在約2 nm與約10 nm之間(例如,7 nm)之一厚度。在一些實施例中,Si層及Mo層具有實質上相同之厚度。在替代實施例中,Si層及Mo層具有不同厚度。Si層及Mo層可藉由物理氣相沈積(PVD)、化學氣相沈積(CVD)、電漿輔助化學氣相沈積(plasma-enhanced CVD) (PECVD)、原子層沈積(ALD)或任何其他適合程序形成。
罩蓋層206安置於多層堆疊204上方。在一些實施例中,罩蓋層206用於防止多層堆疊204在一遮罩圖案化程序期間之氧化。在一些實施例中,罩蓋層206係由釕(Ru)或氧化釕(RuO2 )製成。其他罩蓋層材料(諸如二氧化矽(SiO2 )、非晶碳或其他適合組合物)亦可用於罩蓋層206中。罩蓋層206可具有在約1 nm與約10 nm之間之一厚度。在某些實施例中,罩蓋層206之厚度在約2 nm與約4 nm之間。在一些實施例中,罩蓋層206係藉由PVD、CVD、低溫CVD (LTCVD)、ALD或任何其他適合膜形成方法形成。
光吸收層208安置於罩蓋層206上方。在一些實施例中,光吸收層208係吸收照射至光罩200上之在EUV波長範圍內之輻射之一抗反射層。光吸收層208可包含鉻、氧化鉻、氮化鈦、氮化鉭、氧化鉭、氮化鉭硼、鉭、鈦、鋁銅、其等之組合或類似者。光吸收層208可由一單一層或多個層形成。例如,光吸收層208包含鉻層及氮化鉭層。在一些實施例中,光吸收層208具有在約10 nm與約100 nm之間、或在約40 nm與約80 nm之間之一範圍內(例如,70 nm)之一厚度。在一些實施例中,光吸收層208係藉由PVD、CVD、LTCVD、ALD或任何其他適合膜形成方法形成。
在一些實施例中,一抗反射層(未展示)安置於光吸收層208上方。抗反射層可減少具有短於DUV範圍之一波長之照射輻射自光吸收層208之反射,且可包含與下層光吸收層208相同之一圖案。抗反射層可為具有在約12 nm與約18 nm之間之一厚度之一TaBO層。亦可使用其他材料,諸如Cr2 O3 、ITO、SiN及TaO5 。在其他實施例中,採用具有在約2 nm與約10 nm之間之一厚度之二氧化矽膜作為抗反射層。在一些實施例中,抗反射層係藉由PVD、CVD、LTCVD、ALD或任何其他適合膜形成方法形成。
在一些實施例中,光罩200進一步包含在基板201之一背側201b上之一導電層212。導電層212可輔助將光罩200與一微影系統中之一電卡盤機構(未單獨展示)接合。在一些實施例中,導電層212包含氮化鉻(CrN)、氮氧化鉻(CrON)或另一適合導電材料。在一些實施例中,導電層212包含在自約50 nm至約400 nm之一範圍內之一厚度。導電層212可具有小於基板201之表面積之一表面積。在一些實施例中,導電層212具有分別在基板201之一長度或一寬度之70%與95%之間之一範圍內之一長度或寬度。導電層212可藉由CVD、ALD、分子束磊晶(MBE)、PVD、脈衝雷射沈積、電子束蒸鍍、離子束輔助蒸鍍或任何其他適合膜形成方法形成。
圖2B係根據各項實施例之圖2A中所展示之光罩200之一示意性俯視圖。圖2A係沿著圖2B中之剖面線AA之一視圖。參考圖2A及圖2B,邊框區220可藉由一間隙與光罩200之邊緣分離。替代地,在其他實施例中,邊框區220可延伸至光罩200之邊緣。邊框區220從一俯視視角來看具有一矩形環形狀且包圍成像區210;然而,其他邊框區形狀(例如,一環形環或其他適合形狀)亦為可行的。
光吸收層208包含待轉印至晶圓之電路圖案。光吸收層208之一些部分覆蓋罩蓋層206,而光吸收層208之一些其他部分暴露罩蓋層206。在一微影操作期間,照射於光罩200上之輻射束之部分由光吸收層208遮蔽以形成一圖案化輻射束,因此引起相應地圖案化晶圓之一組件層。換言之,輻射束用於將圖案印刷至晶圓上。在本揭露各處,待轉印至晶圓之光吸收層208中之一圖案222被稱為一可印刷圖案或一可印刷特徵。可印刷圖案222之最小寬度藉由微影系統100之解析度判定且一般受光學器件之數值孔徑(NA)及波長控管。可印刷圖案222之最小寬度可等於或大於微影系統100之解析度。具有小於解析度之一寬度W1之可印刷圖案222將導致圖案之降級之影像品質,諸如具有模糊邊之多邊形或圓形。在一些實施例中,可印刷圖案222之寬度W1大於約6 nm。在一些實施例中,可印刷圖案222之寬度W1大於約4.75 nm。
光吸收層208亦包含組態為不轉印至晶圓之另一類型之圖案224。代替性地,圖案224形成為暴露下方之罩蓋層206以增大污染物吸收之面積之一開口。在此方面,除污染物吸收層202之外,罩蓋層206之部分亦用作另一污染物吸收層。具有圖案224之一形狀且透過光吸收層208之圖案224之開口暴露之罩蓋層206之部分或表面被稱為第二類型污染物吸收體。污染物吸收圖案224鄰近於或遠離可印刷圖案222形成於光吸收層208中。在本揭露各處,此類型之圖案被稱為一不可印刷圖案或不可印刷特徵,此係因為其未貢獻於形成於晶圓上之電路圖案。不可印刷圖案224之最大寬度藉由微影系統100之解析度判定且一般受光學器件之數值孔徑(NA)及波長控管。具有大於解析度之一寬度之不可印刷圖案224可導致意外地將不可印刷圖案224轉印於晶圓上。在一些實施例中,在給定在約13.5 nm之一波長下之微影輻射束(即,一EUV光)之情況下,不可印刷圖案224之寬度在1 nm與約6 nm之間。在一些實施例中,在給定在約13.5 nm之一波長下之微影輻射束(即,一EUV光)之情況下,不可印刷圖案224之寬度在約1 nm與約4.75 nm之間。具有小於約1 nm之一寬度之不可印刷圖案224可能無法帶來污染物吸收之可辨識之效能改善。在一些實施例中,不可印刷圖案224呈具有一長邊及一短邊之一條帶或狹縫之一形狀,其中短邊具有小於長邊之長度之第二寬度W2。第二寬度W2之最大值小於微影系統100之解析度,例如,小於約6 nm或在約1 nm與約6 nm之間。在一些實施例中,若第二寬度W2大於約6 nm,則錯誤地轉印不可印刷圖案224之可能性增加。在一些實施例中,若第二寬度W2小於約1 nm,則其可能不足以維持一附近且隔離之可印刷圖案之所要微影解析度或起到污染物吸收之作用。在一些實施例中,不可印刷圖案224呈一彎曲或曲折形狀,以增大不可印刷圖案224之吸收面積同時維持其不可印刷性。在給定在約13.5 nm之一波長下之微影輻射束(即,一EUV光)之情況下,不可印刷圖案224之彎曲或曲折形狀可具有在約1 nm與約6 nm之間之寬度W2。
在一些實施例中,不可印刷圖案224係以類似於通常在應用非EUV輻射束之微影系統中使用之一亞解析度輔助特徵(SRAF)或一散射條之方式及形狀之一方式及形狀形成。SRAF可輔助形成具有具較高對比度而達成一較小線寬之一圖案影像之可印刷圖案222。在一些實施例中,在EUV微影系統下,可印刷圖案222獨自足以產生具有所要線寬之一電路圖案而無需SRAF之輔助。在一些實施例中,不可印刷圖案224起到改良可印刷圖案222之影像品質以及吸收氣態污染物之作用。在一些實施例中,不可印刷圖案224包含分開的散射條且橫向包圍可印刷圖案222。在一些實施例中,不可印刷圖案224與可印刷特徵222隔開達在1 nm與約100 nm之間、在約5 nm與70 nm之間或在約10 nm與約50 nm之間之一距離。
在一些實施例中,可印刷圖案222及不可印刷圖案224具有各自之面積A1及A2。不可印刷圖案224可具有小於可印刷圖案222之面積A1之最小值之小於約80%之面積A2之一最大值。若面積A2之最大值超過面積A1之最小值之約80%,則不可印刷圖案224之至少部分將可能被轉印至晶圓且不利地影響電路圖案之佈局。在一些實施例中,不可印刷圖案224可具有小於可印刷圖案222之面積A1之最小值之小於約70%之面積A2之一最大值。
仍參考圖2B,邊框區220構成將成像區210與光罩200之周邊分離之一封閉環。光罩200通常經由一電卡盤固定至光罩載物台,且因此,電場可導致光罩200之靜電放電(ESD)之問題。經常在微影操作期間發現ESD,特別是在一高功率輻射束(諸如EUV光)下,且若未被適當處置,ESD可能引起對光罩200之損壞。為此,污染物吸收層202可經選擇以包含具有低電阻之材料,以促進靜電放電(ESD)跨邊框區220及光罩200之傳導及分佈而降低ESD損壞之可能性。在一些實施例中,污染物吸收層202包含具有小於約12 µΩ-cm之一電阻率之一導電材料,例如,釕(Ru)、鉑(Pt)、銠(Rh)、鈀(Pd)、銥(Ir)、其等之一組合或類似者。
圖2C係根據另一實施例之圖2A中所展示之光罩240之一示意性俯視圖。光罩240類似於圖2B中所展示之光罩200,惟邊框區220之組態除外。光罩240在邊框區220中包含橋部分用於將光罩240之周邊連接至成像區210。換言之,橋部分230跨溝槽220R延伸且將圖2B中之經連接溝槽220R之環形狀中斷成斷續之溝槽220R。從一剖面圖來看,橋部分230可包含光吸收層208、罩蓋層206及多層堆疊204之至少一者。在橋部分230之幫助下,成像區210電耦合至光罩240之周邊,藉此促進ESD之分佈且減少來自ESD之損壞。在一些實施例中,橋部分230具有沿著平行於溝槽220R之光罩200之側之方向量測之在約1 µm與約30 µm之間或在約5 µm與20 µm之間之一長度L。在此情境中,污染物吸收層202之材料可具有中等至高電阻率而不會不利地影響光罩240之效能。在一些實施例中,污染物吸收層202包含釕(Ru)、鉑(Pt)、銠(Rh)、鈀(Pd)、銥(Ir)、其等之一組合或類似者。在一些其他實施例中,污染物吸收層202係由具有高於約30 µΩ-cm之一電阻率之一材料(例如,Ru、Ti、Ce、Zr或Al之氧化物(即,RuO2 、TiO2 、CeO2 、ZrO2 、Al2 O3 )、其等之一組合或類似者)形成。呈圖2B中展示之邊框區220之組態之污染物吸收層202容許一更大吸收面積,而具有圖2C中展示之邊框區220之組態之污染物吸收層202容許用於形成污染物吸收層202之材料之更多選擇。
雖然上文論述之兩個類型之污染物吸收體被展示為在減少一反射型光罩之罩蓋層上之污染物累積方面有利,但其等亦適用於光罩及微影系統之其他組態。例如,使用一透射型光罩或具有其他波長之輻射束之微影系統在微影操作期間亦可能易受氣態污染物影響,且由上文論述之污染物吸收層202或罩蓋層206之材料形成之一污染物吸收層或特徵將有效地吸收污染物且改良微影效能。
圖3A至圖3F係根據一些實施例之製造光罩300之一方法之中間階段之剖面圖。
完成光罩300可類似於圖2A中之光罩200。應理解,可在圖3A至圖3F中所展示之程序之前、期間及之後提供額外操作,且可針對方法之額外實施例替換或消除下文描述之一些操作。可改變操作/程序之順序。可省略可在以下實施例及其詳細說明中採用之與關於前述實施例描述之材料、組態、尺寸、程序及/或操作相同或類似之材料、組態、尺寸、程序及/或操作。
參考圖3A,其提供包含導電層212、基板201、污染物吸收層202、多層堆疊204、罩蓋層206及光吸收層208之多個層之一堆疊。在一些實施例中,最初提供或形成基板201。將污染物吸收層202沈積於基板201上方。
藉由交替地在彼此上方形成一單個Mo層204A及一單個Si層204B或反之亦然直至達到預定數目個Mo/Si層對而將多層堆疊204沈積於污染物吸收層202上方。在一實施例中,多層堆疊204包含作為接觸基板201之一底層之矽層。隨後,將罩蓋層206沈積於初形成之(as-formed)多層堆疊204上方。將光吸收層208沈積於罩蓋層206上方。前述層之各者可以一毯覆方式形成於彼此上方。
在一些實施例中,在光吸收層208上方形成一抗反射層。導電層212可形成於基板201之一背側上。在一實施例中,執行一蝕刻操作以移除導電層212之一周邊部分,使得形成導電層212相對於基板201之一內縮(indentation)。
在一些實施例中,將一遮罩層232安置於光吸收層208上方。在其中抗反射層存在於光吸收層208上方之實施例中,遮罩層232形成於抗反射層上方。在一些實施例中,遮罩層232係一硬遮罩層且可由矽、矽基化合物、鉻、鉻基化合物、其等之一組合或類似者製成。在一些實施例中,鉻基化合物包含氧化鉻、氮化鉻、氧氮化鉻或類似者。在其他實施例中,使用TaO、TaN、Ru、RuB、TaB、TaBN或TaBO作為遮罩層232。在一些實施例中,遮罩層232具有在約4 nm與約20 nm之間之一厚度。
前述層之形成方法可包含CVD、ALD、PVD、濺鍍、熱氧化、大氣壓CVD (APCVD)、低壓CVD (LPCVD)、低溫CVD (LTCVD)、雷射增強(laser-enhanced) CVD (LECVD)、電漿輔助(plasma-enhanced) CVD (PECVD)、熱蒸鍍、脈衝雷射蒸鍍、電子束蒸鍍、分子束磊晶、離子束輔助蒸鍍或類似者。
將一光阻層234沈積於遮罩層232上方。光阻層234可由一光敏材料或其他適合抗蝕材料製成。可藉由CVD、ALD、PVD、旋塗或其他適合膜形成方法將光阻層234沈積於遮罩層232上方。一經形成,便根據一預定電路圖案圖案化光阻層234。光阻層234之圖案化可包含一無遮罩曝光,諸如電子束寫入、離子束寫入、使光阻層234顯影及蝕刻光阻層204之不需要的部分。透過圖案化操作形成開口234R。
圖3B展示根據經圖案化光阻層234之遮罩層232之圖案化。遮罩層232之圖案化操作可包含對遮罩層232執行光微影及蝕刻步驟以運用經圖案化光阻層234作為一蝕刻遮罩形成開口232R。開口232R形成為延行穿過遮罩層232且暴露光吸收層208之開口234R之向下延伸。一例示性圖案化程序包含光罩對準、使遮罩層232曝光及顯影以及蝕刻遮罩層232。接著,如圖3C中所繪示般移除光阻層234。移除操作可包含一蝕刻或灰化操作。
在圖3D中,根據經圖案化遮罩層232圖案化光吸收層208。光吸收層208之圖案化操作可包含對光吸收層208執行光微影及蝕刻步驟以運用遮罩層232作為蝕刻遮罩形成開口208R。開口208R形成為延伸穿過光吸收層208且暴露罩蓋層206之開口232R之向下延伸。一例示性圖案化程序包含光罩對準、使光吸收層208曝光及顯影以及蝕刻光吸收層208。在一些實施例中,光吸收層208之圖案化在使用一相同遮罩層232作為一蝕刻遮罩進行之一相同圖案化操作期間形成可印刷圖案及不可印刷圖案。在一些實施例中,在已圖案化光吸收層208之後移除遮罩層232。移除操作可包含一蝕刻或灰化操作。在成像區(例如,圖1中所展示之成像區210)內形成光吸收層208中之圖案(即,開口208R)。
圖3E至圖3F繪示邊框區220之形成。最初,如圖3E中所展示般將一光阻層236沈積於經圖案化光吸收層208上方。光阻層236可由一光敏材料或其他適合抗蝕材料形成。光阻層236可包含與光阻層234之材料相同或不同之一材料。可藉由CVD、ALD、PVD、旋塗或其他適合膜形成方法將光阻層236沈積於光吸收層208上方。光阻層236可填充開口208R。一經形成,便在邊框區220所在之處圖案化光阻層236。光阻層236之圖案化可包含一基於遮罩之曝光或無遮罩曝光,使光阻層236顯影及蝕刻光阻層236之不需要的部分。透過圖案化操作形成開口236R。
根據開口236R執行一蝕刻操作以形成邊框區220之溝槽220R。蝕刻操作可包含一乾式蝕刻、一濕式蝕刻、其等之一組合或類似者。透過蝕刻操作,溝槽236R延伸穿過光吸收層208、罩蓋層206及多層堆疊204,且暴露污染物吸收層202之一上表面。在一些實施例中,在邊框區220中留下橋部分230用於將成像區210連接至光罩200之周邊。在一些實施例中,移除橋部分230處之邊框區220之一或多個層,例如,在一特定橋部分230中,移除光吸收層208而留下罩蓋層及多層堆疊204。透過橋部分之圖案化,相應地形成複數個分開的溝槽236R。
參考圖3F,在形成溝槽236R之後,移除光阻層236,且(若干)溝槽220R留在原處。移除操作可包含一蝕刻或灰化操作。因此,完成光罩200。在一些實施例中,可改變圖3A至圖3D中之用於圖案化光吸收層208之步驟及圖3E至圖3F中之用於形成邊框區220之步驟之順序。
圖4係根據一些實施例之製造一光罩之一方法400之一流程圖。在步驟402,將一第一吸收層沈積於一基板上方。在一些實施例中,第一吸收層係一污染物吸收層。在步驟404,在第一吸收層上方形成一多層堆疊。在一些實施例中,多層堆疊經組態以反射例如在約13.5 nm之一波長下之一輻射束。
在步驟406,將一罩蓋層沈積於多層堆疊上方。在一些實施例中,罩蓋層用作另一污染物吸收層。在步驟408,將一第二吸收層沈積於罩蓋層上方。在一些實施例中,第二吸收層用作一輻射吸收層。
在步驟410,蝕刻第二吸收層以形成一第一圖案及不同於第一圖案之一第二圖案,其中第一圖案經組態以在一光微影操作期間轉印至一工件且第二圖案組態為用於工件之一不可印刷特徵。
圖5係根據一些實施例之製造一半導體裝置之一方法之一流程圖500。半導體裝置可使用一EUV遮罩(諸如關於圖2A至圖2C及圖3A至圖3F描述之光罩200)製造。方法500開始於步驟502,其中提供具有一材料層之一半導體基板。半導體基板包含諸如矽之一半導體材料。在一些實施例中,半導體基板可包含其他半導體材料,諸如矽鍺、碳化矽、砷化鎵或類似者。在一些實施例中,半導體基板係一p型半導體基板(受體型)或n型半導體基板(施體型)。替代地,半導體基板包含另一元素半導體,諸如鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;一合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或其等之組合。在又一替代例中,半導體基板係一絕緣體上覆半導體(semiconductor-on-insulator) (SOI)基板。在其他替代例中,半導體基板可包含一摻雜磊晶層、一梯度半導體層及/或覆疊一不同類型之另一半導體層之一半導體層(諸如矽鍺層上之矽層)。
在一些實施例中,材料層可為一半導體層、一介電層或一導電層。在一些實施例中,材料層可嵌入於半導體基板中或沈積於半導體基板上方。材料層可由一單一層形成或可包含一多層結構。
在步驟504,在材料層上方形成一光阻層。可藉由CVD、PVD、ALD、旋塗或其他適合膜形成方法在材料層上方形成光阻層。接著,方法500繼續步驟506,其中在一微影操作中使用一光罩(諸如如上文所描述之EUV光罩200)圖案化光阻層。在一實施例中,光罩200可安置於一微影系統之一光罩載物台上,且半導體基板安置於一晶圓載物台上。微影操作可涉及透過光罩200之透射或反射將一圖案化曝光輻射投影至光阻層上。可在微影操作之後移除光阻層之部分。
方法500繼續步驟508,以使用經圖案化光阻層作為一蝕刻遮罩圖案化材料層。接著,移除光阻層。移除操作可包含一蝕刻或灰化操作。
上文描述之先進微影程序、方法及材料可用於許多應用中,包含鰭式場效電晶體(FinFET)。例如,鰭可經圖案化以產生上文揭露非常適合之特徵之間之一相對緊密間隔。另外,可根據上文揭露處理在形成FinFET之鰭時使用之間隔件(亦稱為心軸)。
根據一實施例,一種光罩包含:一基板;一多層堆疊,其安置於該基板上方且經組態以反射一輻射;一罩蓋層,其在該多層堆疊上方;及一抗反射層,其在該罩蓋層上方。該抗反射層包括一第一圖案,其中該第一圖案暴露該罩蓋層且組態為一可印刷特徵。該光罩亦包含從一俯視視角來看與該可印刷特徵分開之一吸收體。
根據一實施例,一種光罩包含:一基板;一第一吸收層,其在該基板上方;一多層堆疊,其安置於該第一吸收層上方且包括交替之鉬層及矽層;一罩蓋層,其在該多層堆疊上方;一第二吸收層,其在該罩蓋層上方;及一溝槽,其延伸穿過該第一吸收層、該罩蓋層及該多層堆疊且暴露該第二吸收層之一部分。
根據一實施例,一種形成一光罩之方法包含:在一基板上方沈積一第一吸收層;在該第一吸收層上方形成一多層堆疊,其中該多層堆疊經組態以反射一輻射束;在該多層堆疊上方沈積一罩蓋層;在該罩蓋層上方沈積一第二吸收層;及蝕刻該第二吸收層以形成一第一圖案及一第二圖案,其中該第一圖案待在一光微影操作期間轉印至一工件,且其中該第二圖案組態為用於該工件之一不可印刷特徵。
上文概述若干實施例之特徵,使得熟習此項技術者可更佳理解本揭露之態樣。熟習此項技術者應瞭解,其等可容易使用本揭露作為設計或修改其他製程及結構之一基礎用於實行本文中所介紹之實施例之相同目的及/或達成其相同優點。熟習此項技術者亦應認識到,此等等效構造不脫離本揭露之精神及範疇,且其等可在本文中作出各種改變、置換及更改而不脫離本揭露之精神及範疇。
100:微影系統 102:照明源 104:照明光學模組 106:光罩模組 108:投影光學模組 110:晶圓載物台 200:光罩 201:基板 201b:背側 201f:前側 202:污染物吸收層 204:多層堆疊 204A:Mo層 204B:Si層 206:罩蓋層 208:光吸收層 208R:開口 210:成像區 212:導電層 220:邊框區 220R:溝槽 222:圖案/可印刷圖案/可印刷特徵 224:圖案/污染物吸收圖案/不可印刷圖案 230:橋部分 232:遮罩層 232R:開口 234:光阻層 234R:開口 236:光阻層 236R:開口/溝槽 240:光罩 300:光罩 400:方法 402:步驟 404:步驟 406:步驟 408:步驟 410:步驟 500:流程圖/方法 502:步驟 504:步驟 506:步驟 508:步驟 L:長度 W1:寬度 W2:第二寬度
當結合附圖閱讀時,自以下[實施方式]最佳理解本揭露之態樣。應注意,根據產業中之標準實踐,各種構件未按比例繪製。事實上,為了清楚論述起見,可任意增大或減小各種構件之尺寸。
圖1係根據一些實施例之一微影系統之一方塊圖。
圖2A係根據一些實施例之一光罩之一示意性剖面圖。
圖2B及圖2C係根據各項實施例之圖2A中所展示之光罩之示意性俯視圖。
圖3A至圖3F係根據一些實施例之製造一光罩之一方法之中間階段之剖面圖。
圖4係根據一些實施例之製造一光罩之一方法之一流程圖。
圖5係根據一些實施例之製造一半導體裝置之一方法之一流程圖。
200:光罩
201:基板
201b:背側
201f:前側
202:污染物吸收層
204:多層堆疊
206:罩蓋層
208:光吸收層
210:成像區
212:導電層
220:邊框區
220R:溝槽
222:圖案/可印刷圖案/可印刷特徵
224:污染物吸收圖案/不可印刷圖案
W1:寬度

Claims (10)

  1. 一種光罩,其包括:一基板;一多層堆疊,其安置於該基板上方且經組態以反射一輻射;一罩蓋層,其在該多層堆疊上方;一抗反射層,其在該罩蓋層上方,該抗反射層界定一第一圖案,其中該第一圖案暴露該罩蓋層且組態為一可印刷特徵;及一污染物吸收體,其位於該基板和該等多層堆疊之間,從一俯視視角來看從該等多層疊堆中暴露出來並且與該可印刷特徵分開,其中該污染物吸收體是由鈀或銥所組成的一單一層。
  2. 如請求項1之光罩,其中該抗反射層進一步界定一第二圖案,該第二圖案與該第一圖案分開,該第二圖案暴露該罩蓋層且寬度小於該第一圖案。
  3. 如請求項2之光罩,其中該第二圖案係一不可印刷特徵。
  4. 如請求項1之光罩,其中該罩蓋層包括Ru或RuO2
  5. 如請求項1之光罩,其中該光罩進一步包括延伸穿過該抗反射層、該罩蓋層及該多層堆疊之一溝槽,其中該污染物吸收體透過該溝槽暴露。
  6. 如請求項5之光罩,其中該溝槽在該光罩之一成像區與一周邊之間,其進一步包括跨該溝槽且將該成像區連接至該周邊之一橋部分。
  7. 一種光罩,其包括:一基板;一第一吸收層,其在該基板上方且經配置以吸收污染物,其中該第一吸收層是由鈀或銥所組成的一單一層;一多層堆疊,其安置於該第一吸收層上方且包括交替之鉬層及矽層;一罩蓋層,其在該多層堆疊上方;一第二吸收層,其在該罩蓋層上方;及一溝槽,其延伸穿過該第二吸收層、該罩蓋層及該多層堆疊且暴露該第一吸收層之一部分。
  8. 如請求項7之光罩,其中該第二吸收層包括暴露該罩蓋層之一部分之一開口,其中該開口呈具有小於約6nm之一寬度之一條帶之一形狀。
  9. 一種形成一光罩之方法,該方法包括:在一基板上方沈積一第一吸收層,該第一吸收層經配置以吸收碳氫化合物粒子,其中該第一吸收層是由鈀或銥所組成的一單一層;在該第一吸收層上方形成一多層堆疊,該多層堆疊經組態以反射一輻射束;在該多層堆疊上方沈積一罩蓋層; 在該罩蓋層上方沈積一第二吸收層;及蝕刻該第二吸收層以形成一第一圖案及一第二圖案,其中該第一圖案待在一光微影操作期間轉印至一工件,且其中該第二圖案組態為用於該工件之一不可印刷特徵。
  10. 如請求項9之方法,其進一步包括蝕刻延伸穿過該第二吸收層、該罩蓋層及該多層堆疊且暴露該第一吸收層之一溝槽。
TW109128276A 2019-08-29 2020-08-19 針對污染控制進行改良的光罩及其形成方法 TWI781432B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962893728P 2019-08-29 2019-08-29
US62/893,728 2019-08-29
US16/849,990 US11480869B2 (en) 2019-08-29 2020-04-15 Photomask with enhanced contamination control and method of forming the same
US16/849,990 2020-04-15

Publications (2)

Publication Number Publication Date
TW202109208A TW202109208A (zh) 2021-03-01
TWI781432B true TWI781432B (zh) 2022-10-21

Family

ID=74679642

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109128276A TWI781432B (zh) 2019-08-29 2020-08-19 針對污染控制進行改良的光罩及其形成方法

Country Status (4)

Country Link
US (1) US11480869B2 (zh)
KR (1) KR102469900B1 (zh)
CN (1) CN112445060B (zh)
TW (1) TWI781432B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11815803B2 (en) * 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012049243A (ja) * 2010-08-25 2012-03-08 Dainippon Printing Co Ltd Euv露光用反射型マスクおよびその製造方法
TW201214059A (en) * 2010-03-24 2012-04-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP2014107332A (ja) * 2012-11-26 2014-06-09 Toppan Printing Co Ltd 反射型マスクおよびその製造方法
JP2014168019A (ja) * 2013-02-28 2014-09-11 Toshiba Corp Euv露光用の光反射型フォトマスク及びマスクブランク、並びに半導体装置の製造方法
US20190056653A1 (en) * 2017-08-15 2019-02-21 AGC Inc. Reflective mask blank and reflective mask
US20190074186A1 (en) * 2017-09-06 2019-03-07 Imec Vzw Method for Manufacturing a Mask

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0675189B2 (ja) * 1985-12-19 1994-09-21 キヤノン株式会社 リソグラフィー用マスク構造体及びそれを用いたフォトリソグラフィー加工方法
US6013399A (en) * 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
KR100589041B1 (ko) * 2001-03-30 2006-06-13 삼성전자주식회사 마스크 및 그 형성방법
DE10123768C2 (de) * 2001-05-16 2003-04-30 Infineon Technologies Ag Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske
EP1333323A3 (en) * 2002-02-01 2004-10-06 Nikon Corporation Self-cleaning reflective optical elements for use in x-ray optical systems, and optical systems and microlithography systems comprising same
US7010764B2 (en) * 2003-04-14 2006-03-07 Takumi Technology Corp. Effective proximity effect correction methodology
KR100630738B1 (ko) * 2005-02-18 2006-10-02 삼성전자주식회사 반사 포토마스크의 제조 방법
WO2007039161A1 (en) * 2005-09-27 2007-04-12 Schott Ag Mask blanc and photomasks having antireflective properties
KR20090051838A (ko) * 2007-11-20 2009-05-25 주식회사 에스앤에스텍 극자외선 반사형 블랭크 마스크와 포토마스크 및 그 제조방법
WO2009136564A1 (ja) * 2008-05-09 2009-11-12 Hoya株式会社 反射型マスク、反射型マスクブランク及びその製造方法
DE102008040964B4 (de) * 2008-08-04 2010-07-15 Carl Zeiss Smt Ag Entfernen reflektierender Schichten von EUV-Spiegeln
JP2010206177A (ja) * 2009-02-06 2010-09-16 Toshiba Corp 露光用マスク及びその製造方法並びに半導体装置の製造方法
NL2005804A (en) * 2010-01-14 2011-07-18 Asml Netherlands Bv Method and apparatus for enhancing signal strength for improved generation and placement of model-based sub-resolution assist features (mb-sraf).
JP5772135B2 (ja) * 2011-03-28 2015-09-02 凸版印刷株式会社 反射型マスクブランク及び反射型マスク
US8628897B1 (en) * 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8663878B2 (en) * 2012-07-05 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the same
KR20140069491A (ko) * 2012-11-29 2014-06-10 엘지이노텍 주식회사 포토마스크
US8812999B2 (en) * 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
JP6303346B2 (ja) * 2013-09-09 2018-04-04 凸版印刷株式会社 反射型マスクブランクおよび反射型マスク
US9147033B2 (en) * 2013-09-11 2015-09-29 United Microelectronics Corp. Method of making photomask layout and method of forming photomask including the photomask layout
US9285673B2 (en) 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US10347485B2 (en) * 2014-09-17 2019-07-09 Hoya Corporation Reflective mask blank, method for manufacturing same, reflective mask, method for manufacturing same, and method for manufacturing semiconductor device
JP2016170327A (ja) * 2015-03-13 2016-09-23 株式会社東芝 光反射型リソグラフィマスク、その検査方法、検査装置およびマスクブランク
US10061191B2 (en) * 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
US10620529B2 (en) * 2016-09-06 2020-04-14 Samsung Electronics Co., Ltd. Photomasks
US10262100B2 (en) * 2017-05-24 2019-04-16 Synopsys, Inc. Rule based assist feature placement using skeletons
US10481483B2 (en) * 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and method
US10962873B2 (en) * 2017-09-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
KR20190068897A (ko) * 2017-12-11 2019-06-19 삼성전자주식회사 포토 마스크, 포토 마스크 제조 방법, 및 이를 이용한 반도체 장치 제조 방법
KR102402767B1 (ko) 2017-12-21 2022-05-26 삼성전자주식회사 극자외선 마스크 블랭크, 극자외선 마스크 블랭크를 이용하여 제조된 포토마스크, 포토마스크를 이용한 리소그래피 장치 및 포토마스크를 이용한 반도체 장치 제조 방법
US11300885B2 (en) * 2018-07-25 2022-04-12 Intel Corporation EUV phase-shift SRAF masks by means of embedded phase shift layers
US12001132B2 (en) * 2018-08-17 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Protection layer on low thermal expansion material (LTEM) substrate of extreme ultraviolet (EUV) mask

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201214059A (en) * 2010-03-24 2012-04-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP2012049243A (ja) * 2010-08-25 2012-03-08 Dainippon Printing Co Ltd Euv露光用反射型マスクおよびその製造方法
JP2014107332A (ja) * 2012-11-26 2014-06-09 Toppan Printing Co Ltd 反射型マスクおよびその製造方法
JP2014168019A (ja) * 2013-02-28 2014-09-11 Toshiba Corp Euv露光用の光反射型フォトマスク及びマスクブランク、並びに半導体装置の製造方法
US20190056653A1 (en) * 2017-08-15 2019-02-21 AGC Inc. Reflective mask blank and reflective mask
US20190074186A1 (en) * 2017-09-06 2019-03-07 Imec Vzw Method for Manufacturing a Mask

Also Published As

Publication number Publication date
US11480869B2 (en) 2022-10-25
TW202109208A (zh) 2021-03-01
KR102469900B1 (ko) 2022-11-22
US20210063869A1 (en) 2021-03-04
KR20210028090A (ko) 2021-03-11
CN112445060A (zh) 2021-03-05
CN112445060B (zh) 2024-08-02

Similar Documents

Publication Publication Date Title
TWI713114B (zh) 微影光罩、微影光罩之製造方法以及進行微影製程之方法
US11249384B2 (en) Mask for EUV lithography and method of manufacturing the same
CN108227414B (zh) 掩模结构与掩模制程方法
CN110658676B (zh) 极紫外光微影光罩及其制造方法
TWI655509B (zh) 半導體裝置的製造方法、微影材料及製造系統
TWI764604B (zh) 製造反射遮罩之方法
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
TWI781432B (zh) 針對污染控制進行改良的光罩及其形成方法
US20140272677A1 (en) Methods for fabricating euv masks and methods for fabricating integrated circuits using such euv masks
KR102359753B1 (ko) Euv 포토 마스크 및 그 제조 방법
US9995999B2 (en) Lithography mask
TWI787966B (zh) 極紫外光遮罩與其形成方法
KR102467277B1 (ko) 극자외선 포토리소그래피를 위한 마스크
KR102433522B1 (ko) Euv 포토 마스크 및 관련 방법
KR102658585B1 (ko) Euv 포토 마스크 및 그 제조 방법
KR102469807B1 (ko) 반사형 포토마스크의 제조방법
KR100945933B1 (ko) 극자외선 리소그래피에 사용되는 마스크 및 제조 방법
US11435660B2 (en) Photomask and method of fabricating a photomask
DE102020114051B4 (de) Fotomaske mit verbesserter Kontaminationskontrolle und deren Herstellungsverfahren
KR20200088543A (ko) 포토 마스크, 이의 제조방법, 및 이를 이용한 반도체 소자의 제조방법
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
TWI785481B (zh) 反射遮罩及其製造方法
US20230386838A1 (en) Euv photomask and related methods
CN117250822A (zh) Euv光掩模及其制造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent