CN112445060A - 针对污染控制进行改进的光掩模及其形成方法 - Google Patents

针对污染控制进行改进的光掩模及其形成方法 Download PDF

Info

Publication number
CN112445060A
CN112445060A CN202010870107.7A CN202010870107A CN112445060A CN 112445060 A CN112445060 A CN 112445060A CN 202010870107 A CN202010870107 A CN 202010870107A CN 112445060 A CN112445060 A CN 112445060A
Authority
CN
China
Prior art keywords
layer
photomask
pattern
absorber
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010870107.7A
Other languages
English (en)
Inventor
赖建宏
张浩铭
林佳仕
王宣文
许有心
石志聪
吴于勋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112445060A publication Critical patent/CN112445060A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明实施例涉及针对污染控制进行改进的光掩模及其形成方法。本发明实施例涉及一种光掩模,其包含:衬底;多层堆叠,其安置在所述衬底上方且经配置以反射辐射;罩盖层,其在所述多层堆叠上方;及抗反射层,其在所述罩盖层上方。所述抗反射层包括第一图案,其中所述第一图案暴露所述罩盖层且配置为可印刷特征。所述光掩模还包含从俯视视角来看与所述可印刷特征隔开的吸收体。

Description

针对污染控制进行改进的光掩模及其形成方法
技术领域
本发明实施例涉及针对污染控制进行改进的光掩模及其形成方法。
背景技术
在先进半导体技术中,装置大小的持续缩减及日益复杂的电路布置已使集成电路(IC)的设计及制造更具挑战性且昂贵。为了以更小占据面积及更少功率追求更好的装置性能,已调查先进光刻技术(例如,极紫外线(EUV)光刻)作为用于制造具有相对较小线宽(例如,30nm或更小)的半导体装置的方法。EUV光刻采用光掩模来控制在EUV辐射下对衬底的辐照,以在衬底上形成图案。
虽然已改进现存光刻技术,但其在许多方面中仍未能满足要求。例如,在EUV光刻工艺期间通过外界粒子的污染继续引起重大问题。
发明内容
本发明的一实施例涉及一种光掩模,其包括:衬底;多层堆叠,其安置在所述衬底上方且经配置以反射辐射;罩盖层,其在所述多层堆叠上方;抗反射层,其在所述罩盖层上方,所述抗反射层包括第一图案,其中所述第一图案暴露所述罩盖层且配置为可印刷特征(printable feature);及吸收体,其从俯视视角来看与所述可印刷特征分开。
本发明的一实施例涉及一种光掩模,其包括:衬底;第一吸收层,其在所述衬底上方;多层堆叠,其安置在所述第一吸收层上方且包括交替的钼层及硅层;罩盖层,其在所述多层堆叠上方;第二吸收层,其在所述罩盖层上方;及沟槽,其延伸穿过所述第一吸收层、所述罩盖层及所述多层堆叠且暴露所述第二吸收层的部分。
本发明的一实施例涉及一种形成光掩模的方法,所述方法包括:在衬底上方沉积第一吸收层;在所述第一吸收层上方形成多层堆叠,所述多层堆叠经配置以反射辐射束;在所述多层堆叠上方沉积罩盖层;在所述罩盖层上方沉积第二吸收层;及蚀刻所述第二吸收层以形成第一图案及第二图案,其中所述第一图案待在光刻操作期间转印到工件,且其中所述第二图案配置为用于所述工件的不可印刷(non-printable)特征。
附图说明
当结合附图阅读时,从以下具体实施方式最好地理解本揭露的方面。应注意,根据产业中的标准实践,各种构件未按比例绘制。事实上,为了清楚论述起见,可任意增大或减小各种构件的尺寸。
图1是根据一些实施例的光刻系统的框图。
图2A是根据一些实施例的光掩模的示意性横截面图。
图2B及2C是根据各个实施例的图2A中所展示的光掩模的示意性俯视图。
图3A到3F是根据一些实施例的制造光掩模的方法的中间阶段的横截面图。
图4是根据一些实施例的制造光掩模的方法的流程图。
图5是根据一些实施例的制造半导体装置的方法的流程图。
具体实施方式
下列揭露提供用于实施所提供标的物的不同特征的许多不同实施例或实例。下文描述组件及布置的特定实例以简化本揭露。当然,这些仅为实例且不意在限制。例如,在下列描述中,第一构件形成在第二构件上方或上可包含其中第一构件及第二构件经形成而直接接触的实施例,且还可包含其中额外构件可形成在第一构件与第二构件之间,使得第一构件及第二构件可未直接接触的实施例。另外,本揭露可在各种实例中重复元件符号及/或字母。这个重复出于简单及清楚的目的,且本身不指示所论述的各种实施例及/或配置之间的关系。
此外,为便于描述,可在本文中使用例如“在…下面”、“在…下方”、“下”、“在…上方”、“上”等的空间相对术语来描述一个元件或构件与另一(些)元件或构件的关系,如图中所绘示。除图中所描绘的定向之外,空间相对术语还意在涵盖装置在使用或操作中的不同定向。设备可以其它方式定向(旋转70度或成其它定向)且因此可同样解释本文中所使用的空间相对描述符。
尽管阐述本揭露的广范围的数值范围及参数是近似值,但尽可能精确地报告在具体实例中阐述的数值。然而,任何数值固有地含有必然因相应测试测量中通常存在的偏差引起的某些误差。而且,如本文中所使用,术语“约”、“基本”及“基本上”一般表示在给定值或范围的10%、5%、1%或0.5%内。替代地,术语“约”、“基本”及“基本上”在由所属领域一般技术人员考虑时表示在平均值的可接受标准误差内。除了在操作/工作实例中以外,或除非另有明确指定,否则本文中所揭示的全部数值范围、量、值及百分比(例如材料数量的数值范围、量、值及百分比)、持续时间、温度、操作条件、数量比等应被理解为在全部实例中均通过术语“约”、“基本”及“基本上”修饰。因此,除非有相反指示,否则在本揭露及所附权利要求书中阐述的数值参数是可视需要变化的近似值。最起码,每一数值参数至少应依据所报告的有效位的数字且通过应用一般舍入技术来解释。范围可在本文中被表达为从一个端点到另一端点或在两个端点之间。除非另有指定,否则本文中所揭示的全部范围均包含端点。
极紫外线(EUV)光掩模通常为包含电路图案且在光刻操作期间通过入射EUV辐射的反射将图案化EUV辐射转移到晶片上的反射掩模。EUV光掩模的布局包含电路图案安置在其中的成像区。光掩模至少包含在光反射层上方的光吸收层,其中光吸收层经图案化以在其上形成电路图案。光掩模一般包含在光吸收层与光反射层之间的罩盖层。图案化EUV光从光反射层反射,穿过罩盖层及经图案化光吸收层且辐射到晶片上。EUV光掩模的光刻性能对污染敏感,这是因为污染粒子可能粘附到罩盖层或光反射层的表面且在罩盖层或光反射层上形成额外材料层。EUV光的光传播路径可能由于经添加材料层而更改,且可能不利地影响电路图案的保真度(例如,边界(boundary)清晰度)。
本揭露提供一种光掩模及一种制造光掩模的方法。在所提出的光掩模中,污染物吸收体形成在光掩模的一或多个层上且用于减少或消除例如在超高真空(UHV)环境下在光反射层或罩盖层上的污染的积累的影响。第一类型污染物吸收体提供在光掩模的边框(border)区中,其具有污染物吸收材料以增大污染吸收的面积。第二类型污染物吸收体形成在罩盖层中,其中吸收体特征形成在光吸收层中以暴露罩盖层的部分用于吸收污染物。第一类型及第二类型污染物吸收体可单独或组合利用。运用上文提及的污染物吸收体,减少由于积累污染物的罩盖层或光反射层上方的材料的有效厚度,且因此减低用于清洁光掩模的空闲时间及成本。因此,改善光掩模的使用寿命及操作循环。
图1是根据一些实施例的光刻系统100的框图。光刻系统100在所描绘的实例中是EUV光刻系统,但其可为其它类型的光刻系统,例如深紫外线(DUV)光刻系统或透射型光刻系统。光刻系统100包含照明源102、照明光学模块104、光掩模模块106、投影光学模块108及晶片载物台110。应理解,其它模块可并入到光刻系统100,但为简单起见,其在图1中未展示。
照明源102可操作以产生具有适用于光刻的波长(例如,小于约50纳米(nm)及在一些情况中甚至小至约10nm到15nm的波长)的辐射束。明确来说,可针对EUV光刻系统将辐射束的波长设置为约13.5nm。在一些实施例中,照明源102在激光产生等离子体(LPP)或放电产生等离子体(DPP)系统中产生辐射束,其中使用高功率激光产生高能等离子体以由此形成辐射束。在一些实施例中,照明源102包含用于产生辐射束的真空腔室。因此,光刻系统100可由于辐射束的小波长而实现电路图案的改进分辨率。
照明光学模块104是由用于收集、导引或整形来自照明源102的传入光使其到光掩模模块106的一或多个光学组件形成。例如,照明光学模块104可包含用于收集由照明源102产生的辐射束的集光器。照明光学模块104还可包含用于反射辐射的多个镜。镜的材料经选择以最小化辐射束的辐射吸收。在一些实施例中,镜可包含交替的钼(Mo)层及硅(Si)层的堆叠以减少对辐射束的吸收。在一些情况中,还可利用额外抗吸收涂层以进一步减少辐射吸收。在一些实施例中,照明光学模块104经围封在真空腔室中以减少通过环境气体的辐射吸收的影响。
光掩模模块106包含经配置以固持光掩模的光掩模载物台,其中光掩模通过图案化来自照明光学模块104的入射辐射束而将其上的电路图案转印到目标,例如晶片载物台110上的晶片。在一些实施例中,光掩模包含多层结构。光掩模在本实施例中是反射型光掩模(例如相移掩模),但在其它实施例中也可为透射型光掩模。相移掩模可为衰减相移掩模(AttPSM)或交替相移掩模(AltPSM)。光掩模的结构在后续段落中更详细描述。
辐射束从照明光学模块104引导到光掩模模块106中的光掩模,且接着发射到投影光学模块108。投影光学模块108可包含一或多个反射镜、透镜、聚光器等。在一些实施例中,投影光学模块108可包含环场光学组件。在一些实施例中,投影光学模块108包含形状像弧形以容许图案化辐射束穿过而到晶片载物台110上的晶片的孔隙(或狭缝)。在各个实施例中,光掩模模块106安置在投影光学模块108上方。
晶片载物台110经配置以固持待图案化的晶片。在一些实施例中,晶片载物台110包含用于使用电子力固定晶片的电子卡盘(E卡盘)。在其它实施例中,晶片载物台110包含用于机械地固定晶片的夹具。晶片载物台110可包含用于在光刻操作期间使晶片移动使得可连续地步进及扫描晶片的各个区的定位装置。在一些实施例中,晶片载物台110定位在投影光学模块108下面。
图2A是根据一些实施例的光掩模200的示意性横截面图。光掩模200可用作图1中所绘示的光掩模模块106中的用于执行EUV光刻操作的光掩模,即,其与具有在约1nm与100nm之间(例如,13.5nm)的波长的辐射源兼容。然而,光掩模200还可适用于深UV(DUV)或其它适合波长。在其中光掩模200构造为反射掩模的实施例中,通过入射辐射经由光掩模200的反射形成用于晶片的图案化辐射。参考图2A,光掩模200的结构包含衬底201、污染物吸收层202、多层堆叠204、罩盖层206及光吸收层208。
衬底201是由低热膨胀(LTE)材料(例如熔融硅石、熔融石英、硅、碳化硅、黑金刚石及其它低热膨胀物质)形成。在一些实施例中,衬底201用于减少起因于掩模加热的图像失真。在本实施例中,衬底201包含低缺陷水平及平滑表面的材料性质。在一些实施例中,衬底201透射预定光谱的光,例如可见光波长、接近可见光谱的红外线波长(近红外)及紫外线波长。在一些实施例中,衬底201吸收EUV波长及DUV波长。
光掩模200被分区为成像区210及边框区220,其中边框区220横向地包围且界定成像区210。在一些实施例中,边框区220包含定界成像区210的沟槽220R。边框区220还用于防止成像区210的边界周围的过量辐射泄漏到晶片的邻近裸片场中。为此,应使成像区210与边框区220之间的反射率的比尽可能大。在实施例中,边框区220具有低于约0.1%的反射率。在实施例中,边框区220具有低于约0.05%(例如,0.01%)的反射率。
污染物吸收层202形成在衬底201上方。在一些实施例中,边框区220的沟槽220R延伸穿过光吸收层208、罩盖层206及多层堆叠204。污染物吸收层202的部分通过沟槽220R暴露。污染物吸收层202的暴露部分用于吸收粘附到光掩模200的残留污染物。例如,污染物吸收层202与碳氢化合物粒子(例如CO2、CO、C2H4及CH4)起反应以在其上形成碳基层。碳基层趋于吸收照射辐射束,尤其是高能EUV光,且因此降低图案化辐射束的强度。减弱的图案化辐射束可导致不足曝光且产生宽度比预期小的图案。在一些实施例中,罩盖层206上的碳基层相对于隔离图案的积累厚度大于罩盖层206上的碳基层相对于密集布置图案的积累厚度,这是因为密集布置图案使相同量的污染物散布在大于隔离图案的接触面积的接触面积上方。在污染物吸收层202的帮助下,原本将由成像区210中的罩盖层206吸收的碳氢化合物粒子由污染物吸收层202通过物理吸附或化学吸附的工艺捕获。因此,可减少污染物对成像区210的不利影响。污染物吸收层202被称为第一类型污染物吸收体。污染物吸收层202可具有在约0.05nm与约20nm之间、在约0.1nm与约10nm之间或在约0.5nm与约5nm之间的厚度。
在一些实施例中,污染物吸收层202是由具有强催化性质以促进气态污染物的吸收的材料形成。在一些实施例中,污染物吸收层202的材料包含钌(Ru)、铂(Pt)、铑(Rh)、钯(Pd)、铱(Ir)等。在其它实施例中,污染物吸收层202是由Ru、Ti、Ce、Zr或Al的氧化物(例如,RuO2、TiO2、CeO2、ZrO2、Al2O3等)形成。
此外,污染物吸收层202具有相对于EUV辐射的低反射率,以防止辐射束从沟槽220R向外泄漏。在实施例中,污染物吸收层202具有低于约0.1%的反射率。在实施例中,污染物吸收层202具有低于约0.05%(例如,0.01%)的反射率。
多层堆叠204形成在污染物吸收层202的前侧201f上方。多层堆叠204用作光掩模200的辐射反射层。多层堆叠204可包含对,其中每一对是由钼(Mo)层及硅(Si)层形成。交替的Mo层及Si层的数目(即,Mo/Si对的数目)以及Mo层及Si层的厚度经确定以促进个别反射射线的相长干涉(例如,布拉格反射(Bragg reflection)),且因此提高多层堆叠204的反射率。在一些实施例中,多层堆叠204的反射率针对所关注波长(例如,13.5nm)大于约60%。在一些实施例中,多层堆叠204中的Mo/Si对的数目在约20个与约80个之间,例如,40个。此外,在一些实施例中,Mo层中的每一者或Si层中的每一者具有在约2nm与约10nm之间(例如,7nm)的厚度。在一些实施例中,Si层及Mo层具有基本上相同的厚度。在替代实施例中,Si层及Mo层具有不同厚度。Si层及Mo层可通过物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(plasma-enhanced CVD)(PECVD)、原子层沉积(ALD)或任何其它适合工艺形成。
罩盖层206安置在多层堆叠204上方。在一些实施例中,罩盖层206用于防止多层堆叠204在掩模图案化工艺期间的氧化。在一些实施例中,罩盖层206是由钌(Ru)或氧化钌(RuO2)制成。其它罩盖层材料(例如二氧化硅(SiO2)、非晶碳或其它适合组合物)也可用于罩盖层206中。罩盖层206可具有在约1nm与约10nm之间的厚度。在某些实施例中,罩盖层206的厚度在约2nm与约4nm之间。在一些实施例中,罩盖层206通过PVD、CVD、低温CVD(LTCVD)、ALD或任何其它适合膜形成方法形成。
光吸收层208安置在罩盖层206上方。在一些实施例中,光吸收层208是吸收照射到光掩模200上的在EUV波长范围内的辐射的抗反射层。光吸收层208可包含铬、氧化铬、氮化钛、氮化钽、氧化钽、氮化钽硼、钽、钛、铝铜、其组合等。光吸收层208可由单个层或多个层形成。例如,光吸收层208包含铬层及氮化钽层。在一些实施例中,光吸收层208具有在约10nm与约100nm之间、或在约40nm与约80nm之间的范围内(例如,70nm)的厚度。在一些实施例中,光吸收层208通过PVD、CVD、LTCVD、ALD或任何其它适合膜形成方法形成。
在一些实施例中,抗反射层(未展示)安置在光吸收层208上方。抗反射层可减少具有短于DUV范围的波长的照射辐射从光吸收层208的反射,且可包含与下伏光吸收层208相同的图案。抗反射层可为具有在约12nm与约18nm之间的厚度的TaBO层。还可使用其它材料,例如Cr2O3、ITO、SiN及TaO5。在其它实施例中,采用具有在约2nm与约10nm之间的厚度的二氧化硅膜作为抗反射层。在一些实施例中,抗反射层通过PVD、CVD、LTCVD、ALD或任何其它适合膜形成方法形成。
在一些实施例中,光掩模200进一步包含在衬底201的背侧201b上的导电层212。导电层212可辅助将光掩模200与光刻系统中的电卡盘机构(未单独展示)接合。在一些实施例中,导电层212包含氮化铬(CrN)、氮氧化铬(CrON)或另一适合导电材料。在一些实施例中,导电层212包含在从约50nm到约400nm的范围内的厚度。导电层212可具有小于衬底201的表面积的表面积。在一些实施例中,导电层212具有分别在衬底201的长度或宽度的70%与95%之间的范围内的长度或宽度。导电层212可通过CVD、ALD、分子束外延(MBE)、PVD、脉冲激光沉积、电子束蒸镀、离子束辅助蒸镀或任何其它适合膜形成方法形成。
图2B是根据各个实施例的图2A中所展示的光掩模200的示意性俯视图。图2A是沿着图2B中的截面线AA的视图。参考图2A及2B,边框区220可通过间隙与光掩模200的边缘分离。替代地,在其它实施例中,边框区220可延伸到光掩模200的边缘。边框区220从俯视视角来看具有矩形环形状且包围成像区210;然而,其它边框区形状(例如,环形环或其它适合形状)也是可行的。
光吸收层208包含待转印到晶片的电路图案。光吸收层208的一些部分覆盖罩盖层206,而光吸收层208的一些其它部分暴露罩盖层206。在光刻操作期间,照射在光掩模200上的辐射束的部分由光吸收层208遮蔽以形成图案化辐射束,因此引起相应地图案化晶片的组件层。换句话说,辐射束用于将图案印刷到晶片上。贯穿本揭露,待转印到晶片的光吸收层208中的图案222被称为可印刷图案或可印刷特征。可印刷图案222的最小宽度通过光刻系统100的分辨率确定且一般受光学器件的数值孔径(NA)及波长控管。可印刷图案222的最小宽度可等于或大于光刻系统100的分辨率。具有小于分辨率的宽度W1的可印刷图案222将导致图案的降级的图像质量,例如具有模糊边的多边形或圆形。在一些实施例中,可印刷图案222的宽度W1大于约6nm。在一些实施例中,可印刷图案222的宽度W1大于约4.75nm。
光吸收层208还包含配置为不转印到晶片的另一类型的图案224。代替性地,图案224形成为暴露下方的罩盖层206以增大污染物吸收的面积的开口。在这个方面,除污染物吸收层202之外,罩盖层206的部分还用作另一污染物吸收层。具有图案224的形状且通过光吸收层208的图案224的开口暴露的罩盖层206的部分或表面被称为第二类型污染物吸收体。污染物吸收图案224邻近于或远离可印刷图案222形成在光吸收层208中。贯穿本揭露,这种类型的图案被称为不可印刷图案或不可印刷特征,这是因为其未贡献于形成在晶片上的电路图案。不可印刷图案224的最大宽度通过光刻系统100的分辨率确定且一般受光学器件的数值孔径(NA)及波长控管。具有大于分辨率的宽度的不可印刷图案224可导致意外地将不可印刷图案224转印在晶片上。在一些实施例中,在给定约13.5nm的波长的光刻辐射束(即,EUV光)的情况下,不可印刷图案224的宽度在1nm与约6nm之间。在一些实施例中,在给定约13.5nm的波长的光刻辐射束(即,EUV光)的情况下,不可印刷图案224的宽度在约1nm与约4.75nm之间。具有小于约1nm的宽度的不可印刷图案224可能无法带来污染物吸收的可辨识的性能改善。在一些实施例中,不可印刷图案224呈具有长边及短边的条带或狭缝的形状,其中短边具有小于长边的长度的第二宽度W2。第二宽度W2的最大值小于光刻系统100的分辨率,例如,小于约6nm或在约1nm与约6nm之间。在一些实施例中,如果第二宽度W2大于约6nm,那么错误地转印不可印刷图案224的可能性增加。在一些实施例中,如果第二宽度W2小于约1nm,那么其可能不足以维持附近且隔离的可印刷图案的所要光刻分辨率或起到污染物吸收的作用。在一些实施例中,不可印刷图案224呈弯曲或曲折形状,以增大不可印刷图案224的吸收面积同时维持其不可印刷性。在给定约13.5nm的波长的光刻辐射束(即,EUV光)的情况下,不可印刷图案224的弯曲或曲折形状可具有在约1nm与约6nm之间的宽度W2。
在一些实施例中,不可印刷图案224是以类似于通常在应用非EUV辐射束的光刻系统中使用的亚分辨率辅助特征(SRAF)或散射条的方式及形状的方式及形状形成。SRAF可辅助形成具有具较高对比度度而实现较小线宽的图案图像的可印刷图案222。在一些实施例中,在EUV光刻系统下,可印刷图案222独自足以产生具有所要线宽的电路图案而无需SRAF的辅助。在一些实施例中,不可印刷图案224起到改进可印刷图案222的图像质量以及吸收气态污染物的作用。在一些实施例中,不可印刷图案224包含分开的散射条且横向包围可印刷图案222。在一些实施例中,不可印刷图案224与可印刷特征222隔开达在1nm与约100nm之间、在约5nm与70nm之间或在约10nm与约50nm之间的距离。
在一些实施例中,可印刷图案222及不可印刷图案224具有相应的面积A1及A2。不可印刷图案224可具有小于可印刷图案222的面积A1的最小值的小于约80%的面积A2的最大值。如果面积A2的最大值超过面积A1的最小值的约80%,那么不可印刷图案224的至少部分将可能被转印到晶片且不利地影响电路图案的布局。在一些实施例中,不可印刷图案224可具有小于可印刷图案222的面积A1的最小值的小于约70%的面积A2的最大值。
仍参考图2B,边框区220构成将成像区210与光掩模200的外围分离的封闭环。光掩模200通常经由电卡盘固定到光掩模载物台,且因此,电场可导致光掩模200的静电放电(ESD)的问题。经常在光刻操作期间发现ESD,尤其是在高功率辐射束(例如EUV光)下,且如果未被适当处置,那么ESD可能引起对光掩模200的损坏。为此,污染物吸收层202可经选择以包含具有低电阻的材料,以促进静电放电(ESD)跨边框区220及光掩模200的传导及分布而降低ESD损坏的可能性。在一些实施例中,污染物吸收层202包含具有小于约12μΩ-cm的电阻率的导电材料,例如,钌(Ru)、铂(Pt)、铑(Rh)、钯(Pd)、铱(Ir)、其组合等。
图2C是根据另一实施例的图2A中所展示的光掩模240的示意性俯视图。光掩模240类似于图2B中所展示的光掩模200,只有边框区220的配置除外。光掩模240在边框区220中包含桥部分用于将光掩模240的外围连接到成像区210。换句话说,桥部分230跨沟槽220R延伸且将图2B中的经连接沟槽220R的环形状中断成断续的沟槽220R。从横截面图来看,桥部分230可包含光吸收层208、罩盖层206及多层堆叠204中的至少一者。在桥部分230的帮助下,成像区210电耦合到光掩模240的外围,由此促进ESD的分布且减少来自ESD的损坏。在一些实施例中,桥部分230具有沿着平行于沟槽220R的光掩模200的侧的方向测量的在约1μm与约30μm之间或在约5μm与20μm之间的长度L。在此情境中,污染物吸收层202的材料可具有中等到高电阻率而不会不利地影响光掩模240的性能。在一些实施例中,污染物吸收层202包含钌(Ru)、铂(Pt)、铑(Rh)、钯(Pd)、铱(Ir)、其组合等。在一些其它实施例中,污染物吸收层202是由具有高于约30μΩ-cm的电阻率的材料(例如,Ru、Ti、Ce、Zr或Al的氧化物(即,RuO2、TiO2、CeO2、ZrO2、Al2O3)、其组合等)形成。呈图2B中展示的边框区220的配置的污染物吸收层202容许更大吸收面积,而具有图2C中展示的边框区220的配置的污染物吸收层202容许用于形成污染物吸收层202的材料的更多选择。
虽然上文论述的两种类型的污染物吸收体被展示为在减少反射型光掩模的罩盖层上的污染物积累方面有利,但其也适用于光掩模及光刻系统的其它配置。例如,使用透射型光掩模或具有其它波长的辐射束的光刻系统在光刻操作期间还可能易受气态污染物影响,且由上文论述的污染物吸收层202或罩盖层206的材料形成的污染物吸收层或特征将有效地吸收污染物且改进光刻性能。
图3A到3F是根据一些实施例的制造光掩模300的方法的中间阶段的横截面图。
完成光掩模300可类似于图2A中的光掩模200。应理解,可在图3A到3F中所展示的工艺之前、期间及之后提供额外操作,且可针对方法的额外实施例替换或消除下文描述的一些操作。可改变操作/工艺的顺序。可省略可在以下实施例及其详细说明中采用的与关于前述实施例描述的材料、配置、尺寸、工艺及/或操作相同或类似的材料、配置、尺寸、工艺及/或操作。
参考图3A,其提供包含导电层212、衬底201、污染物吸收层202、多层堆叠204、罩盖层206及光吸收层208的多个层的堆叠。在一些实施例中,最初提供或形成衬底201。将污染物吸收层202沉积在衬底201上方。
通过交替地在彼此上方形成单个Mo层204A及单个Si层204B或反之亦然直到达到预定数目个Mo/Si层对而将多层堆叠204沉积在污染物吸收层202上方。在实施例中,多层堆叠204包含作为接触衬底201的底层的硅层。随后,将罩盖层206沉积在初形成的(as-formed)多层堆叠204上方。将光吸收层208沉积在罩盖层206上方。前述层中的每一者可以毯覆方式形成在彼此上方。
在一些实施例中,在光吸收层208上方形成抗反射层。导电层212可形成在衬底201的背侧上。在实施例中,执行蚀刻操作以移除导电层212的外围部分,使得形成导电层212相对于衬底201的内缩(indentation)。
在一些实施例中,将掩模层232安置在光吸收层208上方。在其中抗反射层存在于光吸收层208上方的实施例中,掩模层232形成在抗反射层上方。在一些实施例中,掩模层232是硬掩模层且可由硅、硅基化合物、铬、铬基化合物、其组合等制成。在一些实施例中,铬基化合物包含氧化铬、氮化铬、氧氮化铬等。在其它实施例中,使用TaO、TaN、Ru、RuB、TaB、TaBN或TaBO作为掩模层232。在一些实施例中,掩模层232具有在约4nm与约20nm之间的厚度。
前述层的形成方法可包含CVD、ALD、PVD、溅镀、热氧化、大气压CVD(APCVD)、低压CVD(LPCVD)、低温CVD(LTCVD)、激光辅助(laser-enhanced)CVD(LECVD)、等离子体增强(plasma-enhanced)CVD(PECVD)、热蒸镀、脉冲激光蒸镀、电子束蒸镀、分子束外延、离子束辅助蒸镀等。
将光致抗蚀层234沉积在掩模层232上方。光致抗蚀层234可由光敏材料或其它适合抗蚀材料制成。可通过CVD、ALD、PVD、旋涂或其它适合膜形成方法将光致抗蚀层234沉积在掩模层232上方。经形成,便根据预定电路图案图案化光致抗蚀层234。光致抗蚀层234的图案化可包含无掩模曝光,例如电子束写入、离子束写入、使光致抗蚀层234显影及蚀刻光致抗蚀层204的不需要的部分。通过图案化操作形成开口234R。
图3B展示根据经图案化光致抗蚀层234的掩模层232的图案化。掩模层232的图案化操作可包含对掩模层232执行光刻及蚀刻步骤以运用经图案化光致抗蚀层234作为蚀刻掩模形成开口232R。开口232R形成为延行穿过掩模层232且暴露光吸收层208的开口234R的向下延伸。实例性图案化工艺包含光掩模对准、使掩模层232曝光及显影以及蚀刻掩模层232。接着,如图3C中所绘示那样移除光致抗蚀层234。移除操作可包含蚀刻或灰化操作。
在图3D中,根据经图案化掩模层232图案化光吸收层208。光吸收层208的图案化操作可包含对光吸收层208执行光刻及蚀刻步骤以运用掩模层232作为蚀刻掩模形成开口208R。开口208R形成为延伸穿过光吸收层208且暴露罩盖层206的开口232R的向下延伸。实例性图案化工艺包含光掩模对准、使光吸收层208曝光及显影以及蚀刻光吸收层208。在一些实施例中,光吸收层208的图案化在使用相同掩模层232作为蚀刻掩模进行的相同图案化操作期间形成可印刷图案及不可印刷图案。在一些实施例中,在已图案化光吸收层208之后移除掩模层232。移除操作可包含蚀刻或灰化操作。在成像区(例如,图1中所展示的成像区210)内形成光吸收层208中的图案(即,开口208R)。
图3E到3F绘示边框区220的形成。最初,如图3E中所展示那样将光致抗蚀层236沉积在经图案化光吸收层208上方。光致抗蚀层236可由光敏材料或其它适合抗蚀材料形成。光致抗蚀层236可包含与光致抗蚀层234的材料相同或不同的材料。可通过CVD、ALD、PVD、旋涂或其它适合膜形成方法将光致抗蚀层236沉积在光吸收层208上方。光致抗蚀层236可填充开口208R。经形成,便在边框区220所在之处图案化光致抗蚀层236。光致抗蚀层236的图案化可包含基于掩模的曝光或无掩模曝光,使光致抗蚀层236显影及蚀刻光致抗蚀层236的不需要的部分。通过图案化操作形成开口236R。
根据开口236R执行蚀刻操作以形成边框区220的沟槽220R。蚀刻操作可包含干法蚀刻、湿法蚀刻、其组合等。通过蚀刻操作,沟槽236R延伸穿过光吸收层208、罩盖层206及多层堆叠204,且暴露污染物吸收层202的上表面。在一些实施例中,在边框区220中留下桥部分230用于将成像区210连接到光掩模200的外围。在一些实施例中,移除桥部分230处的边框区220的一或多个层,例如,在特定桥部分230中,移除光吸收层208而留下罩盖层及多层堆叠204。通过桥部分的图案化,相应地形成多个分开的沟槽236R。
考图3F,在形成沟槽236R之后,移除光致抗蚀层236,且(若干)沟槽220R留在原处。移除操作可包含蚀刻或灰化操作。因此,完成光掩模200。在一些实施例中,可改变图3A到3D中的用于图案化光吸收层208的步骤及图3E到3F中的用于形成边框区220的步骤的顺序。
图4是根据一些实施例的制造光掩模的方法400的流程图。在步骤402,将第一吸收层沉积在衬底上方。在一些实施例中,第一吸收层是污染物吸收层。在步骤404,在第一吸收层上方形成多层堆叠。在一些实施例中,多层堆叠经配置以反射例如约13.5nm的波长的辐射束。
在步骤406,将罩盖层沉积在多层堆叠上方。在一些实施例中,罩盖层用作另一污染物吸收层。在步骤408,将第二吸收层沉积在罩盖层上方。在一些实施例中,第二吸收层用作辐射吸收层。
在步骤410,蚀刻第二吸收层以形成第一图案及不同于第一图案的第二图案,其中第一图案经配置以在光刻操作期间转印到工件且第二图案配置为用于工件的不可印刷特征。
图5是根据一些实施例的制造半导体装置的方法的流程图500。半导体装置可使用EUV掩模(例如关于图2A到2C及图3A到3F描述的光掩模200)制造。方法500开始于步骤502,其中提供具有材料层的半导体衬底。半导体衬底包含例如硅的半导体材料。在一些实施例中,半导体衬底可包含其它半导体材料,例如硅锗、碳化硅、砷化镓等。在一些实施例中,半导体衬底是p型半导体衬底(受体型)或n型半导体衬底(施体型)。替代地,半导体衬底包含另一元素半导体,例如锗;化合物半导体,包含碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟;合金半导体,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或其组合。在又一替代例中,半导体衬底是绝缘体上覆半导体(semiconductor-on-insulator)(SOI)衬底。在其它替代例中,半导体衬底可包含掺杂外延层、梯度半导体层及/或覆迭不同类型的另一半导体层的半导体层(例如硅锗层上的硅层)。
在一些实施例中,材料层可为半导体层、电介质层或导电层。在一些实施例中,材料层可嵌入在半导体衬底中或沉积在半导体衬底上方。材料层可由单个层形成或可包含多层结构。
在步骤504,在材料层上方形成光致抗蚀层。可通过CVD、PVD、ALD、旋涂或其它适合膜形成方法在材料层上方形成光致抗蚀层。接着,方法500继续步骤506,其中在光刻操作中使用光掩模(例如如上文所描述的EUV光掩模200)图案化光致抗蚀层。在实施例中,光掩模200可安置在光刻系统的光掩模载物台上,且半导体衬底安置在晶片载物台上。光刻操作可涉及通过光掩模200的透射或反射将图案化曝光辐射投影到光致抗蚀层上。可在光刻操作之后移除光致抗蚀层的部分。
方法500继续步骤508,以使用经图案化光致抗蚀层作为蚀刻掩模图案化材料层。接着,移除光致抗蚀层。移除操作可包含蚀刻或灰化操作。
上文描述的先进光刻工艺、方法及材料可用于许多应用中,包含鳍式场效晶体管(FinFET)。例如,鳍可经图案化以产生上文揭露非常适合的特征之间的相对紧密间隔。另外,可根据上文揭露处理在形成FinFET的鳍时使用的间隔件(也被称为心轴)。
根据实施例,一种光掩模包含:衬底;多层堆叠,其安置在所述衬底上方且经配置以反射辐射;罩盖层,其在所述多层堆叠上方;及抗反射层,其在所述罩盖层上方。所述抗反射层包括第一图案,其中所述第一图案暴露所述罩盖层且配置为可印刷特征。所述光掩模还包含从俯视视角来看与所述可印刷特征分开的吸收体。
根据实施例,一种光掩模包含:衬底;第一吸收层,其在所述衬底上方;多层堆叠,其安置在所述第一吸收层上方且包括交替的钼层及硅层;罩盖层,其在所述多层堆叠上方;第二吸收层,其在所述罩盖层上方;及沟槽,其延伸穿过所述第一吸收层、所述罩盖层及所述多层堆叠且暴露所述第二吸收层的部分。
根据实施例,一种形成光掩模的方法包含:在衬底上方沉积第一吸收层;在所述第一吸收层上方形成多层堆叠,其中所述多层堆叠经配置以反射辐射束;在所述多层堆叠上方沉积罩盖层;在所述罩盖层上方沉积第二吸收层;及蚀刻所述第二吸收层以形成第一图案及第二图案,其中所述第一图案待在光刻操作期间转印到工件,且其中所述第二图案配置为用于所述工件的不可印刷特征。
上文概述若干实施例的特征,使得所属领域技术人员可更佳理解本揭露的方面。所属领域技术人员应了解,其可容易使用本揭露作为设计或修改其它工艺及结构的基础用于实行本文中所介绍的实施例的相同目的及/或实现相同优点。所属领域技术人员还应认识到,此类等效构造不脱离本揭露的精神及范围,且其可在本文中作出各种改变、置换及更改而不脱离本揭露的精神及范围。
符号说明
100:光刻系统
102:照明源
104:照明光学模块
106:光掩模模块
108:投影光学模块
110:晶片载物台
200:光掩模
201:衬底
201b:背侧
201f:前侧
202:污染物吸收层
204:多层堆叠
204A:Mo层
204B:Si层
206:罩盖层
208:光吸收层
208R:开口
210:成像区
212:导电层
220:边框区
220R:沟槽
222:图案/可印刷图案/可印刷特征
224:图案/污染物吸收图案/不可印刷图案
230:桥部分
232:掩模层
232R:开口
234:光致抗蚀剂层
234R:开口
236:光致抗蚀剂层
236R:开口/沟槽
240:光掩模
300:光掩模
400:方法
402:步骤
404:步骤
406:步骤
408:步骤
410:步骤
500:流程图/方法
502:步骤
504:步骤
506:步骤
508:步骤
L:长度
W1:宽度
W2:第二宽度

Claims (10)

1.一种光掩模,其包括:
衬底;
多层堆叠,其安置在所述衬底上方且经配置以反射辐射;
罩盖层,其在所述多层堆叠上方;
抗反射层,其在所述罩盖层上方,所述抗反射层包括第一图案,其中所述第一图案暴露所述罩盖层且配置为可印刷特征;及
吸收体,其从俯视视角来看与所述可印刷特征分开。
2.根据权利要求1所述的光掩模,其中所述吸收体配置为通过所述抗反射层的第二图案暴露的所述罩盖层的部分,所述第二图案与所述第一图案分开。
3.根据权利要求2所述的光掩模,其中所述第二图案是不可印刷特征。
4.根据权利要求1所述的光掩模,其中所述罩盖层包括Ru或RuO2
5.根据权利要求1所述的光掩模,其中所述吸收体安置在所述衬底与所述多层堆叠之间,其中所述光掩模进一步包括延伸穿过所述抗反射层、所述罩盖层及所述多层堆叠的沟槽,其中所述吸收体通过所述沟槽暴露。
6.根据权利要求5所述的光掩模,其中所述沟槽在所述光掩模的成像区与外围之间,其进一步包括跨所述沟槽且将所述成像区连接到所述外围的桥部分。
7.一种光掩模,其包括:
衬底;
第一吸收层,其在所述衬底上方;
多层堆叠,其安置在所述第一吸收层上方且包括交替的钼层及硅层;
罩盖层,其在所述多层堆叠上方;
第二吸收层,其在所述罩盖层上方;及
沟槽,其延伸穿过所述第一吸收层、所述罩盖层及所述多层堆叠且暴露所述第二吸收层的部分。
8.根据权利要求7所述的光掩模,其中所述第二吸收层包括暴露所述罩盖层的部分的开口,其中所述开口呈具有小于约6nm的宽度的条带的形状。
9.一种形成光掩模的方法,所述方法包括:
在衬底上方沉积第一吸收层;
在所述第一吸收层上方形成多层堆叠,所述多层堆叠经配置以反射辐射束;
在所述多层堆叠上方沉积罩盖层;
在所述罩盖层上方沉积第二吸收层;及
蚀刻所述第二吸收层以形成第一图案及第二图案,其中所述第一图案待在光刻操作期间转印到工件,且其中所述第二图案配置为用于所述工件的不可印刷特征。
10.根据权利要求9所述的方法,其进一步包括蚀刻延伸穿过所述第一吸收层、所述罩盖层及所述多层堆叠且暴露所述第二吸收层的沟槽。
CN202010870107.7A 2019-08-29 2020-08-26 针对污染控制进行改进的光掩模及其形成方法 Pending CN112445060A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962893728P 2019-08-29 2019-08-29
US62/893,728 2019-08-29
US16/849,990 2020-04-15
US16/849,990 US11480869B2 (en) 2019-08-29 2020-04-15 Photomask with enhanced contamination control and method of forming the same

Publications (1)

Publication Number Publication Date
CN112445060A true CN112445060A (zh) 2021-03-05

Family

ID=74679642

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010870107.7A Pending CN112445060A (zh) 2019-08-29 2020-08-26 针对污染控制进行改进的光掩模及其形成方法

Country Status (4)

Country Link
US (1) US11480869B2 (zh)
KR (1) KR102469900B1 (zh)
CN (1) CN112445060A (zh)
TW (1) TWI781432B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11815803B2 (en) * 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62144169A (ja) * 1985-12-19 1987-06-27 Canon Inc リソグラフィー用マスク構造体及びそれを用いたフォトリソグラフィー加工方法
JP2012049243A (ja) * 2010-08-25 2012-03-08 Dainippon Printing Co Ltd Euv露光用反射型マスクおよびその製造方法
CN103529640A (zh) * 2012-07-05 2014-01-22 台湾积体电路制造股份有限公司 掩模及其形成方法
KR20140069491A (ko) * 2012-11-29 2014-06-10 엘지이노텍 주식회사 포토마스크
JP2014168019A (ja) * 2013-02-28 2014-09-11 Toshiba Corp Euv露光用の光反射型フォトマスク及びマスクブランク、並びに半導体装置の製造方法
CN107452602A (zh) * 2016-06-01 2017-12-08 台湾积体电路制造股份有限公司 高耐久性极紫外光掩模
CN109212894A (zh) * 2017-06-30 2019-01-15 台湾积体电路制造股份有限公司 光掩模
US20190101817A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
US20190179225A1 (en) * 2017-12-11 2019-06-13 Samsung Electronics Co., Ltd. Photomasks, methods of manufacturing photomasks, and methods of manufacturing semiconductor device using photomasks

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6013399A (en) * 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
KR100589041B1 (ko) * 2001-03-30 2006-06-13 삼성전자주식회사 마스크 및 그 형성방법
DE10123768C2 (de) * 2001-05-16 2003-04-30 Infineon Technologies Ag Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske
EP1333323A3 (en) * 2002-02-01 2004-10-06 Nikon Corporation Self-cleaning reflective optical elements for use in x-ray optical systems, and optical systems and microlithography systems comprising same
US7010764B2 (en) * 2003-04-14 2006-03-07 Takumi Technology Corp. Effective proximity effect correction methodology
KR100630738B1 (ko) * 2005-02-18 2006-10-02 삼성전자주식회사 반사 포토마스크의 제조 방법
WO2007039161A1 (en) * 2005-09-27 2007-04-12 Schott Ag Mask blanc and photomasks having antireflective properties
KR20090051838A (ko) * 2007-11-20 2009-05-25 주식회사 에스앤에스텍 극자외선 반사형 블랭크 마스크와 포토마스크 및 그 제조방법
KR101771380B1 (ko) * 2008-05-09 2017-08-24 호야 가부시키가이샤 반사형 마스크, 반사형 마스크 블랭크 및 그 제조 방법
DE102008040964B4 (de) * 2008-08-04 2010-07-15 Carl Zeiss Smt Ag Entfernen reflektierender Schichten von EUV-Spiegeln
JP2010206177A (ja) * 2009-02-06 2010-09-16 Toshiba Corp 露光用マスク及びその製造方法並びに半導体装置の製造方法
NL2005804A (en) * 2010-01-14 2011-07-18 Asml Netherlands Bv Method and apparatus for enhancing signal strength for improved generation and placement of model-based sub-resolution assist features (mb-sraf).
EP2550563A1 (en) 2010-03-24 2013-01-30 ASML Netherlands B.V. Lithographic apparatus and spectral purity filter
JP5772135B2 (ja) * 2011-03-28 2015-09-02 凸版印刷株式会社 反射型マスクブランク及び反射型マスク
US8628897B1 (en) * 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
JP6070109B2 (ja) * 2012-11-26 2017-02-01 凸版印刷株式会社 反射型マスクおよびその製造方法
US8812999B2 (en) * 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
JP6303346B2 (ja) * 2013-09-09 2018-04-04 凸版印刷株式会社 反射型マスクブランクおよび反射型マスク
US9147033B2 (en) * 2013-09-11 2015-09-29 United Microelectronics Corp. Method of making photomask layout and method of forming photomask including the photomask layout
US9285673B2 (en) 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
KR102499220B1 (ko) * 2014-09-17 2023-02-13 호야 가부시키가이샤 반사형 마스크 블랭크 및 그 제조 방법, 반사형 마스크 및 그 제조 방법, 및 반도체 장치의 제조 방법
JP2016170327A (ja) * 2015-03-13 2016-09-23 株式会社東芝 光反射型リソグラフィマスク、その検査方法、検査装置およびマスクブランク
KR20180027712A (ko) * 2016-09-06 2018-03-15 삼성전자주식회사 포토마스크
US10262100B2 (en) * 2017-05-24 2019-04-16 Synopsys, Inc. Rule based assist feature placement using skeletons
JP6863169B2 (ja) * 2017-08-15 2021-04-21 Agc株式会社 反射型マスクブランク、および反射型マスク
EP3454121A1 (en) * 2017-09-06 2019-03-13 IMEC vzw Method for manufacturing a mask
KR102402767B1 (ko) 2017-12-21 2022-05-26 삼성전자주식회사 극자외선 마스크 블랭크, 극자외선 마스크 블랭크를 이용하여 제조된 포토마스크, 포토마스크를 이용한 리소그래피 장치 및 포토마스크를 이용한 반도체 장치 제조 방법
US11300885B2 (en) * 2018-07-25 2022-04-12 Intel Corporation EUV phase-shift SRAF masks by means of embedded phase shift layers
US12001132B2 (en) * 2018-08-17 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Protection layer on low thermal expansion material (LTEM) substrate of extreme ultraviolet (EUV) mask

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62144169A (ja) * 1985-12-19 1987-06-27 Canon Inc リソグラフィー用マスク構造体及びそれを用いたフォトリソグラフィー加工方法
JP2012049243A (ja) * 2010-08-25 2012-03-08 Dainippon Printing Co Ltd Euv露光用反射型マスクおよびその製造方法
CN103529640A (zh) * 2012-07-05 2014-01-22 台湾积体电路制造股份有限公司 掩模及其形成方法
KR20140069491A (ko) * 2012-11-29 2014-06-10 엘지이노텍 주식회사 포토마스크
JP2014168019A (ja) * 2013-02-28 2014-09-11 Toshiba Corp Euv露光用の光反射型フォトマスク及びマスクブランク、並びに半導体装置の製造方法
CN107452602A (zh) * 2016-06-01 2017-12-08 台湾积体电路制造股份有限公司 高耐久性极紫外光掩模
CN109212894A (zh) * 2017-06-30 2019-01-15 台湾积体电路制造股份有限公司 光掩模
US20190101817A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
CN109581804A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 制造极紫外光掩模的方法
US20190179225A1 (en) * 2017-12-11 2019-06-13 Samsung Electronics Co., Ltd. Photomasks, methods of manufacturing photomasks, and methods of manufacturing semiconductor device using photomasks
CN109901358A (zh) * 2017-12-11 2019-06-18 三星电子株式会社 光掩模和制造光掩模的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
冯伯儒: "光掩模激光修补技术", 微细加工技术, no. 04, 30 December 1994 (1994-12-30) *

Also Published As

Publication number Publication date
TW202109208A (zh) 2021-03-01
KR20210028090A (ko) 2021-03-11
US20210063869A1 (en) 2021-03-04
KR102469900B1 (ko) 2022-11-22
TWI781432B (zh) 2022-10-21
US11480869B2 (en) 2022-10-25

Similar Documents

Publication Publication Date Title
US9213232B2 (en) Reflective mask and method of making same
TWI713114B (zh) 微影光罩、微影光罩之製造方法以及進行微影製程之方法
TWI753273B (zh) 極紫外光微影光罩及其製造方法
TWI655509B (zh) 半導體裝置的製造方法、微影材料及製造系統
US20210373430A1 (en) Euv photo masks and manufacturing method thereof
US11886109B2 (en) EUV photo masks and manufacturing method thereof
US10685846B2 (en) Semiconductor integrated circuit fabrication with pattern-reversing process
US20230384662A1 (en) Euv photomask and manufacturing method of the same
CN110658676B (zh) 极紫外光微影光罩及其制造方法
US8911920B2 (en) Methods for fabricating EUV masks and methods for fabricating integrated circuits using such EUV masks
TWI781432B (zh) 針對污染控制進行改良的光罩及其形成方法
CN110967916A (zh) 制造euv光掩模的方法
KR102658585B1 (ko) Euv 포토 마스크 및 그 제조 방법
KR102469807B1 (ko) 반사형 포토마스크의 제조방법
CN115356890A (zh) 用于极紫外掩模的间隙型吸收体
US11681221B2 (en) EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
CN113589640A (zh) 极紫外线微影遮罩和其制造方法
TWI838405B (zh) 用於極紫外線微影術之光罩及其製造方法
US11119398B2 (en) EUV photo masks
DE102020114051B4 (de) Fotomaske mit verbesserter Kontaminationskontrolle und deren Herstellungsverfahren
CN117250822A (zh) Euv光掩模及其制造方法
CN113253563A (zh) Euv光掩模及其制造方法
CN114690544A (zh) 光罩表层膜及其形成方法
CN113267956A (zh) Euv光掩模及其制造方法
CN110874008A (zh) 掩模及其制造方法及图案化膜层的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination