TWI751065B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI751065B
TWI751065B TW110111662A TW110111662A TWI751065B TW I751065 B TWI751065 B TW I751065B TW 110111662 A TW110111662 A TW 110111662A TW 110111662 A TW110111662 A TW 110111662A TW I751065 B TWI751065 B TW I751065B
Authority
TW
Taiwan
Prior art keywords
gate
forbidden
conductive
gates
cell
Prior art date
Application number
TW110111662A
Other languages
English (en)
Other versions
TW202141639A (zh
Inventor
彭士瑋
曾健庭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/135,614 external-priority patent/US11574901B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202141639A publication Critical patent/TW202141639A/zh
Application granted granted Critical
Publication of TWI751065B publication Critical patent/TWI751065B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3953Routing detailed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Ceramic Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體元件包括單元。單元包括主動區、閘極、至少一個閘極通孔及至少一個接觸通孔。主動區包括禁區。閘極跨主動區設置。至少一個閘極通孔與閘極中的一者耦接。至少一個接觸通孔與至少一個導電區段耦接,導電區段各自對應於電晶體的源極/汲極。在佈局視圖中,禁區中的一者抵靠抵靠單元的一區域,區域中中設置抵靠單元的閘極通孔或接觸通孔中的至少一者。在佈局視圖中,至少一個閘極通孔或至少一個接觸通孔中的至少一者佈置在主動區內且在禁區外側。本文亦揭示了一種製造半導體元件的方法。

Description

半導體元件及其製造方法
本揭示內容是關於一種半導體元件,特別是一種包含用以佈置通孔的禁區的半導體元件及其方法。
半導體積體電路(IC)工業已經歷快速增長。為追求更高元件密度、更高效能、及更低成本,IC設計的技術進展已產生數代IC。與前代相比,本代具有更小且更複雜的電路。在IC發展過程中,單位晶片面積互連元件的數量增加而可以使用製造製程產生的最小部件或接線減小。此種縮小過程增加了設計及製造IC的複雜性。
本揭示內容之一些實施例提供一種半導體元件。半導體元件包含單元。單元包含主動區、複數個閘極、至少一個閘極通孔以及至少一個接觸通孔。主動區包括複數個禁區。閘極跨主動區設置。閘極通孔與閘極中的一者耦接。接觸通孔與至少一個導電區段耦接,導電區段對應於電晶體的源極/汲極。在一佈局視圖中,禁區中的一者抵靠抵靠單元的區域,區域中設置抵靠單元的閘極通孔或接觸通孔 中的至少一者,並且單元中的閘極通孔或接觸通孔中的至少一者在主動區內並且在禁區外側佈置。
本揭示內容之一些實施例亦提供一種製造半導體元件的方法,包含以下的操作:在對應於第一電路的第一單元的第一主動區之上,並且在對應於第二電路且抵靠第一單元的第二單元的第二主動區之上,形成複數個閘極及複數個導電區段;在第一主動區及第二主動區之上,形成複數個訊號軌,其中訊號軌包含第一訊號軌及第二訊號軌;以及在佈局視圖中,在第二主動區中抵靠多個禁區中的第一禁區的第一區域內,在第一單元中形成第一導電通孔,並且在第一主動區中抵靠多個禁區中的第二禁區的第二區域內,在第二單元中形成第二導電通孔。第一導電通孔及第二導電通孔分別將第一訊號軌及第二訊號軌耦接到閘極中對應的閘極或導電區段中對應的導電區段。第一禁區配置成不設置對應於第一導電通孔的導電通孔,並且第二禁區配置成不設置對應於第二導電通孔的導電通孔。
本揭示內容之一些實施例亦提供一種製造半導體元件的方法,包含以下的操作:產生積體電路的第一電路。產生第一電路的操作進一步包括以下操作:在抵靠第二單元的第一單元中,在包含複數個禁區的主動區之上,形成複數個閘極及複數個導電區段;以及在佈局視圖中,當至少一個第一導電通孔在抵靠禁區的第二單元的至少一個區域中設置時,將至少一個第二導電通孔設置在主動區中且在禁區外側,以形成接觸閘極或導電區段中的一者的此至 少一個第二導電通孔。
100:佈局圖
120:區
137a:禁區
137b:禁區
151:金屬區段
161a:閘極
161b:閘極
161c:閘極
161d:閘極
161e:閘極
161f:閘極
161g:閘極
164a:閘極通孔
164b:閘極通孔
164c:閘極通孔
164d:閘極通孔
164e:閘極通孔
164f:閘極通孔
164g:閘極通孔
169a:金屬區段
200:佈局圖
211:通孔
212:通孔
221:通孔
222:通孔
300:半導體元件
311:閘極
312:閘極
313:閘極
314:閘極
315:閘極
316:閘極
321:導電區段
322:導電區段
323:導電區段
331:接觸通孔
341:閘極通孔
351:訊號軌
352:訊號軌
353:訊號軌
354:訊號軌
355:訊號軌
356:訊號軌
361:背側通孔
371:背側電力軌
372:背側電力軌
411:矽化物層
412:矽化物層
421:磊晶結構
422:磊晶結構
423:磊晶結構
431:隔離結構
441:介電結構
451:層間介電(ILD)層
461:介電結構
471:背側ILD層
481:間隔件
500:佈局圖
531:接觸通孔
532:接觸通孔
533:接觸通孔
534:接觸通孔
600A:佈局圖
600B:佈局圖
611:禁區
612:禁區
613:禁區
614:禁區
615:禁區
621:區域
622:區域
631:禁區
632:禁區
633:禁區
634:禁區
635:禁區
636:禁區
641:區域
642:區域
700A:佈局圖
700B:佈局圖
700C:佈局圖
700D:佈局圖
711:閘極
712:閘極
731:禁區
732:禁區
733:禁區
751:禁區
752:禁區
753:禁區
754:禁區
800:佈局圖
900A:IC
900B:佈局圖
900C:佈局圖
900D:佈局圖
911:閘極
921:MD區段
922:MD區段
923:MD區段
931:接觸通孔
941:閘極通孔
951:訊號軌
952:訊號軌
961:禁區
962:禁區
963:禁區
964:禁區
971:禁區
972:禁區
973:禁區
974:禁區
975:禁區
976:禁區
1000A:IC
1000B:佈局圖
1000C:佈局圖
1000D:佈局圖
1011:閘極
1012:閘極
1021:MD區段
1022:MD區段
1023:MD區段
1024:MD區段
1025:MD區段
1031:接觸通孔
1032:接觸通孔
1041:閘極通孔
1042:閘極通孔
1051:訊號軌
1052:訊號軌
1053:訊號軌
1054:訊號軌
1061:禁區
1062:禁區
1063:禁區
1064:禁區
1065:禁區
1066:禁區
1071:禁區
1072:禁區
1073:禁區
1074:禁區
1075:禁區
1076:禁區
1077:禁區
1078:禁區
1100A:IC
1100B:佈局圖
1100C:佈局圖
1100D:佈局圖
1111:閘極
1112:閘極
1121:MD區段
1122:MD區段
1123:MD區段
1124:MD區段
1125:MD區段
1126:MD區段
1131:接觸通孔
1132:接觸通孔
1141:閘極通孔
1142:閘極通孔
1151:訊號軌
1152:訊號軌
1153:訊號軌
1154:訊號軌
1161:禁區
1162:禁區
1163:禁區
1164:禁區
1165:禁區
1166:禁區
1171:禁區
1172:禁區
1173:禁區
1174:禁區
1175:禁區
1176:禁區
1177:禁區
1178:禁區
1200A:IC
1200B:佈局圖
1200C:佈局圖
1200D:佈局圖
1211:閘極
1212:閘極
1213:閘極
1221:MD區段
1222:MD區段
1223:MD區段
1224:MD區段
1225:MD區段
1226:MD區段
1227:MD區段
1231:接觸通孔
1232:接觸通孔
1233:接觸通孔
1241:閘極通孔
1242:閘極通孔
1243:閘極通孔
1251:訊號軌
1252:訊號軌
1253:訊號軌
1254:訊號軌
1255:訊號軌
1261:禁區
1262:禁區
1263:禁區
1264:禁區
1265:禁區
1266:禁區
1267:禁區
1268:禁區
1270:禁區
1271:禁區
1272:禁區
1273:禁區
1274:禁區
1275:禁區
1276:禁區
1277:禁區
1278:禁區
1279:禁區
1300A:IC
1300B:佈局圖
1300C:佈局圖
1300D:佈局圖
1311:閘極
1312:閘極
1313:閘極
1314:閘極
1320:MD區段
1321:MD區段
1322:MD區段
1323:MD區段
1324:MD區段
1325:MD區段
1326:MD區段
1327:MD區段
1328:MD區段
1329:MD區段
1331:接觸通孔
1332:接觸通孔
1333:接觸通孔
1334:接觸通孔
1335:接觸通孔
1341:閘極通孔
1342:閘極通孔
1343:閘極通孔
1344:閘極通孔
1351:訊號軌
1352:訊號軌
1353:訊號軌
1354:訊號軌
1355:訊號軌
1356:訊號軌
1357:訊號軌
1360:禁區
1361:禁區
1362:禁區
1363:禁區
1364:禁區
1365:禁區
1366:禁區
1367:禁區
1368:禁區
1369:禁區
1370:禁區
1371:禁區
1372:禁區
1373:禁區
1374:禁區
1375:禁區
1376:禁區
1377:禁區
1378:禁區
1379:禁區
1400A:IC
1400B:佈局圖
1411:閘極
1421:MD區段
1422:MD區段
1500:佈局圖
1521:通孔
1522:通孔
1523:通孔
1600A:IC
1600B:佈局圖
1600C:佈局圖
1610:閘極
1611:閘極
1612:閘極
1613:閘極
1614:閘極
1615:閘極
1616:閘極
1617:閘極
1618:閘極
1619:閘極
1631:接觸通孔
1632:接觸通孔
1640:閘極通孔
1641:閘極通孔
1642:閘極通孔
1643:閘極通孔
1644:閘極通孔
1645:閘極通孔
1646:閘極通孔
1647:閘極通孔
1648:閘極通孔
1649:閘極通孔
1651:訊號軌
1651:訊號軌
1652:訊號軌
1652:訊號軌
1653:訊號軌
1654:訊號軌
1654:訊號軌
1655:訊號軌
1655:訊號軌
1656:訊號軌
1656:訊號軌
1681:通孔
1682:通孔
1683:通孔
1684:通孔
1685:通孔
1686:通孔
1690:金屬區段
1691:金屬區段
1692:金屬區段
1693:金屬區段
1694:金屬區段
1695:金屬區段
1696:金屬區段
1697:金屬區段
1698:金屬區段
1699:金屬區段
1700:半導體元件
1711:閘極
1712:閘極
1721:MD區段
1722:MD區段
1723:MD區段
1724:MD區段
1731:接觸通孔
1732:接觸通孔
1733:接觸通孔
1741:閘極通孔
1742:閘極通孔
1751:金屬軌
1752:金屬軌
1753:金屬軌
1754:金屬軌
1755:金屬軌
1756:金屬軌
1757:金屬軌
1758:金屬軌
1811:矽化物層
1812:矽化物層
1813:矽化物層
1814:矽化物層
1821:磊晶結構
1822:磊晶結構
1823:磊晶結構
1824:磊晶結構
1831:隔離結構
1832:隔離結構
1841:介電結構
1851:層間介電(ILD)層
1861:介電結構
1871:背側ILD層
1881:間隔件
1882:間隔件
1900:方法
1910:操作
1920:操作
1930:操作
1940:操作
2000:電子設計自動化系統
2010:輸入/輸出介面
2020:硬體處理器
2030:網路介面
2040:網路
2050:匯流排
2060:非暫時性電腦可讀取儲存媒體
2061:電腦程式碼(指令)
2062:標準單元程式庫
2063:使用者介面
2070:製造工具
2100:IC製造系統
2110:設計室
2111:IC設計佈局圖
2120:光罩室
2121:資料準備
2122:光罩製造
2123:光罩
2130:IC製造商/生產商
2132:晶圓製造
2133:半導體晶圓
2140:IC元件
CLKB:資料訊號
CLKBB:資料訊號
CP:資料訊號
D:資料訊號
m1_ax:資料訊號
m1_b:資料訊號
m1_bx:資料訊號
mx1:資料訊號
mx2:資料訊號
Q:資料訊號
s1_a:資料訊號
s1_bx:資料訊號
SE:資料訊號
SI:資料訊號
A-A':線
A1:主動區
A2:主動區
A3:主動區
A4:主動區
A6:節點
B-B':線
B1:節點
B2:節點
B3:節點
B4:節點
C-C':線
C01:單元
C11:單元
C12:單元
C21:單元
CB':邊界
CB1:單元邊界
CB2:單元邊界
CB3:單元邊界
CB4:單元邊界
CB5:單元邊界
CBn:單元邊界
CLKB:資料訊號
CLKBB:資料訊號
CP:資料訊號
CX:虛線圓
CX':虛線圓
D:資料訊號
D1:距離
D2:距離
D3:距離
H0:高度
H1:高度
H2:高度
H3:高度
H4:高度
I:連接
I1:連接
I2:連接
INV1:反向器
INV2:反向器
INV3:反向器
INV4:反向器
INV5:反向器
INV6:反向器
L1:長度
m1_ax:資料訊號
m1_b:資料訊號
mx1:資料訊號
mx2:資料訊號
N1:NMOS電晶體
N2:NMOS電晶體
N3:NMOS電晶體
N4:NMOS電晶體
N5:NMOS電晶體
N6:NMOS電晶體
N7:NMOS電晶體
N8:NMOS電晶體
N9:NMOS電晶體
N10:NMOS電晶體
N11:NMOS電晶體
P1:PMOS電晶體
P2:PMOS電晶體
P3:PMOS電晶體
P4:PMOS電晶體
P5:PMOS電晶體
P6:PMOS電晶體
P7:PMOS電晶體
P8:PMOS電晶體
P9:PMOS電晶體
R[0]:列
R[1]:列
R[2]:列
R[n]:列
s1_a:資料訊號
s1_bx:資料訊號
SE:資料訊號
SEB:資料訊號
SI:資料訊號
VDD:電力電壓訊號
VSS:參考電壓訊號
W1:寬度
X:方向
Y:方向
ZN:連接
當結合隨附圖式閱讀時,自以下詳細描述將最佳地理解本揭示內容之一些實施例的態樣。應注意,根據工業中的標準實務,各個特徵並非按比例繪製。事實上,出於論述清晰的目的,可任意增加或減小各個特徵的尺寸。
第1圖是根據本揭示內容的一些實施例的積體電路(IC)的示意性佈局圖。
第2圖是根據本揭示內容的一些實施例的在與第1圖中的IC對應的IC中包括的半導體元件的示意性佈局圖。
第3A圖至第3B圖是根據本揭示內容的一些實施例的與第2圖中的半導體元件對應的半導體元件的示意性佈局圖。
第4A圖至第4B圖是根據本揭示內容的一些實施例的與第3A圖至第3B圖中的半導體元件對應的半導體元件的橫截面圖。
第5圖是根據本揭示內容的一些實施例的與第2圖中的半導體元件對應的半導體元件的示意性佈局圖。
第6A圖至第6B圖是根據本揭示內容的一些實施例的與第5圖中的半導體元件對應的半導體元件的示意性佈局圖。
第7A圖至第7D圖是根據本揭示內容的一些實施例的與第5圖中的半導體元件對應的半導體元件的示意性佈局圖。
第8圖是根據本揭示內容的一些實施例的與第2圖中的半導體元件對應的包括單一高度單元的半導體元件的示意性 佈局圖。
第9A圖是根據本揭示內容的一些實施例的IC的電路圖。
第9B圖至第9D圖是根據本揭示內容的一些實施例的與第9A圖的IC對應的IC的佈局圖。
第10A圖是根據本揭示內容的一些實施例的IC的電路圖。
第10B圖至第10D圖是根據本揭示內容的一些實施例的與第10A圖的IC對應的IC的佈局圖。
第11A圖是根據本揭示內容的一些實施例的IC的電路圖。
第11B圖至第11D圖是根據本揭示內容的一些實施例的與第11A圖的IC對應的IC的佈局圖。
第12A圖是根據本揭示內容的一些實施例的IC的電路圖。
第12B圖至第12D圖是根據本揭示內容的一些實施例的與第12A圖的IC對應的IC的佈局圖。
第13A圖是根據本揭示內容的一些實施例的IC的電路圖。
第13B圖至第13D圖是根據本揭示內容的一些實施例的與第13A圖的IC對應的IC的佈局圖。
第14A圖是根據本揭示內容的一些實施例的IC的電路圖。
第14B圖是根據本揭示內容的一些實施例的與第14A圖的IC對應的IC的佈局圖。
第15圖是根據本揭示內容的一些實施例的與第2圖中的半導體元件對應的包括雙重高度單元的半導體元件的示意性佈局圖。
第16A圖是根據本揭示內容的一些實施例的IC的電路圖。
第16B圖至第16C圖是根據本揭示內容的一些實施例的與第16A圖的IC對應的IC的佈局圖。
第17圖是根據本揭示內容的一些實施例的與第1圖中的IC對應的IC中包括的半導體元件的示意性佈局圖。
第18A圖至第18C圖是根據本揭示內容的一些實施例的與第17圖中的半導體元件對應的半導體元件的橫截面圖。
第19圖是根據本揭示內容的一些實施例的用於製造與第1圖中的IC對應的IC的方法的流程圖。
第20圖是根據本揭示內容的一些實施例的用於設計IC佈局設計的系統的方塊圖。
第21圖是根據一些實施例的IC製造系統及與其相關聯的IC製造流程的方塊圖。
以下揭示內容提供許多不同的實施例或實例,用於實施所提供標的的不同特徵。下文描述部件及佈置的具體實例以簡化本揭示內容的一些實施例。當然,此些僅為實例且並不意欲為限制性。例如,以下描述中在第二特徵上 方或第二特徵上形成第一特徵可包括以直接接觸形成第一特徵及第二特徵的實施例,且亦可包括在第一特徵與第二特徵之間形成額外特徵以使得第一特徵及第二特徵可不處於直接接觸的實施例。此外,本揭示內容的一些實施例可在各個實例中重複元件符號及/或字母。此重複是出於簡便性及清晰的目的且本身並不指示所論述的各個實施例及/或構造之間的關係。
在本說明書中使用的術語通常具有其在本領域中及在使用每個術語的具體上下文中的常用意義。在本說明書中使用實例(包括本文論述的任何術語的實例)是說明性的,並且不以任何方式限制本揭示內容的一些實施例或任何示例性術語的範疇及意義。同樣,本揭示內容的一些實施例不限於本說明書中給出的各個實施例。
儘管術語「第一」、「第二」等等可在本文中用於描述各個元件,此些元件不應當由此些術語限制。此些術語用於在元件之間進行區分。例如,第一元件可以被稱為第二元件,並且類似地,第二元件可以被稱為第一元件,而不脫離實施例的範疇。如本文所使用,術語「及/或」包括一個或多個相關聯的列出術語的任何及所有組合。
如本文所使用,術語「包含」、「包括」、「具有」、「含有」、「涉及」及類似者將被理解為開放的,亦即,意味著包括但不限於。
在整個此說明書中提及「一個實施例」、「一實施例」或「一些實施例」意味著結合實施例描述的特定特徵、 結構、實施方式、或特性包括在本揭示的至少一個實施例中。因此,在整個此說明書的各個位置中使用片語「在一個實施例中」或「在一實施例中」或「在一些實施例中」不必皆指相同實施例。此外,特定特徵、結構、實施方式或特性可以任何適宜方式結合在一個或多個實施例中。
在本文中,術語「耦接」亦可稱為「電氣耦接」,並且術語「連接」可稱為「電氣連接」。「耦接」及「連接」亦可以用於指示兩個或多個元件彼此協作或相互作用。
此外,為了易於理解,在整個說明書中可使用空間相對性術語(諸如「下方」、「之下」、「下部」、「上面」、「上部」及類似者)來描述諸圖中所示出的一個元件或特徵與另一元或特徵的關係。除了圖中描繪的定向外,空間相對性術語意欲包含使用或操作中元件的不同定向。結構可經其他方式定向(例如,旋轉90度或處於其他定向)且由此可同樣地解讀本文所使用的空間相對性描述詞。
如本文所使用,「大約(around)」、「約(about)」、「近似(approximately)」、或「實質上(substantially)」應當大體指給定值或範圍的任何近似值,其中此值取決於其所涉及的各種領域而變化,並且此值的範疇應當依據由其所涉及的領域中一般技術人員理解的最廣解讀,以便涵蓋所有此種修改及類似結構。在一些實施例中,其應當大體意味著在給定值或範圍的20百分比內,較佳地10百分比內,並且更佳地5百分比內。本文給出的數量是近似的, 意味著若並未明確陳述,則可以推斷出術語「大約(around)」、「約(about)」、「近似(approximately)」、或「實質上(substantially)」,或意味著其他近似值。
第1圖是根據本揭示內容的一些實施例的積體電路(integrated circuit,IC)的示意性佈局圖100。佈局圖100在包括若干列R[0]、R[1]、R[2]、…、及R[n]的列中佈置。列R[0]、R[1]、R[2]、…、及R[n]在X方向上延伸,並且在Y方向上依序堆疊。
在一些實施例中,單元(在第2圖中圖示)在各個列R[0]、R[1]、R[2]、…、及R[n]中設置,用於設計IC的對應電路。相對於Y方向,在列R[0]、R[1]、R[2]、…、及R[n]中的各個單元具有相應高度。例如,如第1圖中示出,列R[0]中的一個單元具有高度H0,為了簡單說明,此高度H0是第1圖中標記的僅一個高度。在一些實施例中,高度被稱為單元高度,此些單元高度亦等於對應列的高度。在一些其他實施例中,列R[0]、R[1]、R[2]、…、及R[n]的高度中的至少一者與其他不同。在一些替代實施例中,列R[0]、R[1]、R[2]、…、及R[n]的高度中的至少兩者是相同的。
在一些實施例中,佈局圖100表示根據產生佈局圖的一種或多種方法的初始佈局圖。在一些其他實施例中,基於包括佈局圖100的較大佈局圖來製造包括半導體元件的IC。
現在參考第2圖。第2圖是根據本揭示內容的一 些實施例的半導體元件的示意性佈局圖200。在一些實施例中,佈局圖200是第1圖所示的佈局圖100中的區120的放大視圖。相對於第1圖的實施例的佈局圖200,為了易於理解,第2圖中的類似元件用相同元件符號指代。為了簡單說明,在佈局圖200中僅圖示數個列R[0]及R[1]及數個單元C1、C12及C21。
為了第2圖中的說明,單元C11及C12在列R[1]中佈置,並且相對於X方向彼此相鄰佈置。單元C21在列R[2]中佈置,並且相對於Y方向抵靠單元C11。
考慮到電路效能、電路功率及製造製程,在佈局圖200中的各個單元C11、C12及C21用於對應電路的設計。在一些實施例中,利用來自標準單元程式庫(其是參考第20圖論述的標準單元程式庫2062)的單元C11、C12及C21。單元C11、C12及C21具有等於列R[1]-R[2]的高度的相同單元高度。在一些其他實施例中,利用來自相應單元程式庫的單元C11、C12及C21,並且此些單元C11、C12及C21具有等於列R[1]-R[2]的對應高度的相應單元高度。
參考第2圖,佈局圖200進一步包括圖案化為「LFZ」的若干圖案。此些圖案LFZ在X方向上沿著列R[1]-R[2]的邊界佈置。具體地,圖案LFZ在列R[1]-R[2]的每個邊界CB1、CB2及CBn處佈置,並且交替且彼此分離地佈置。換言之,圖案LFZ在單元C21的頂部邊界CBn及底部邊界CB1周圍佈置,並且亦在單 元C11及C12的頂部邊界CB1及底部邊界CB2周圍佈置。
在一些實施例中,利用圖案LFZ來設計通孔圖案的佈置。例如,參考第2圖,單元C11中的通孔211及212藉由一個圖案LFZ彼此分離,並且單元C11中的通孔211藉由至少一個圖案LFZ與單元C21中的通孔221間隔開。在一些實施例中,利用通孔圖案來在半導體元件中形成通孔。如在以下實施例中更詳細論述,通孔包括閘極通孔及導電通孔。遵循至少一個準則,禁止將通孔圖案放置在圖案LFZ中。如此,在對應的半導體元件中,在設置圖案LFZ的區域處不形成通孔。在各個實施例中,在本揭示內容的一些實施例的以下段落中提供一些準則用於闡明在半導體元件中何時及/或何處佈置或形成通孔。
現在參考第3A圖至第3B圖。第3A圖至第3B圖是根據本揭示內容的一些實施例的半導體元件300的示意性佈局圖。在一些實施例中,基於佈局圖200來製造半導體元件300。相對於第2圖的實施例的半導體元件300,為了易於理解,第3A圖至第3B圖中的類似元件用相同元件符號指代。為了簡單說明,僅一部分半導體元件300在第3A圖至第3B圖中圖示,並且僅數個元件在第3A圖至第3B圖中標記。例如,第3A圖示出與第2圖中的單元C11對應的單元C11及單元C01的一部分。第3B圖示出單元C11。單元C01抵靠單元C11並且在另一列(其是第1圖所示的R[0])中佈置。
如第3A圖中示出,示出半導體元件300的前側(front side)。半導體元件300包括圖案化為「POLY」的閘極311、312及313,圖案化為「MD」的導電區段321、322及323,圖案化為「VG」的閘極通孔341,圖案化為「VD」的接觸通孔331,以及圖案化為「M0」的訊號軌351、352、353及354。
閘極311-313跨圖案化為「AA」的主動區(未在第3A圖中標記)形成。閘極311-313沿著Y方向延伸。導電區段321-323在主動區之上形成並且沿著Y方向延伸。導電區段在後文被稱為MD區段。在一些實施例中,閘極311-313與相應電晶體的閘極端子對應。MD區段321-323與相應電晶體的源極/汲極端子對應。在一些其他實施例中,閘極311及相鄰的MD區段321與323與相同電晶體對應。
在一些實施例中,主動區是其中設置半導體元件300的主要部分的符號層,而非實體層。在一些實施例中,主動區是多晶矽。在一些實施例中,主動區由p型摻雜材料製成。在一些其他實施例中,主動區由n型摻雜材料製成。在各個實施例中,主動區用以形成電晶體的通道。在一些其他實施例中,主動區是鰭型主動區域並且用以形成鰭結構,此些鰭結構用於形成鰭式場效電晶體(FinFET)。
閘極通孔341在主動區之上設置並且在閘極311與訊號軌352之間耦接,此訊號軌352在主動區之上的金 屬零(M0)層中設置。在一些實施例中,關於本揭示內容的以下實施例論述的閘極通孔341及其他閘極通孔與在對應閘極端子與M0層中形成的金屬軌之間耦接的通孔對應。
接觸通孔331在主動區之上設置並且在MD區段322與M0層中設置的訊號軌353之間耦接。在一些實施例中,關於本揭示內容的以下實施例論述的接觸通孔331及接觸閘極通孔與在對應源極/汲極端子與M0層中形成的金屬軌之間耦接的通孔對應。
訊號軌351、352、353及354在M0層中設置。訊號軌351-354沿著X方向延伸。在一些其他實施例中,訊號軌351-354用以將資料訊號耦接到對應電晶體。
如第3B圖中示出,示出半導體元件300的背側(back side)。背側與前側相對。半導體元件300進一步包括圖案化為「VB」的背側通孔361及圖案化為「BM0」的背側電力軌371與372。
背側通孔361在半導體元件300的背側之上設置,此背側亦在包括例如主動區及M0層的半導體元件300的前側之下。背側通孔361在MD區段321與在背側金屬零(BM0)層中設置的背側電力軌371之間耦接。參考第3B圖,BM0層在背側通孔361之上。
背側電力軌371及372在BM0層中設置。背側電力軌371及372沿著X方向延伸。在一些其他實施例中,背側電力軌371及372用以發送電力訊號。例如,參考第3B圖,背側電力軌371耦接到第一參考電壓VSS, 並且用以接收電壓訊號VSS,且將電壓訊號VSS耦接到對應電晶體。背側電力軌372耦接到第二參考電壓VDD,並且用以接收電壓訊號VDD,且將電壓訊號VDD耦接到對應電晶體。
在一些實施例中,參考第3A圖至第3B圖,關於方向Y,訊號軌351-354的寬度是相同的,並且背側電力軌371-372的寬度是相同的。訊號軌351-354的寬度與背側電力軌371-372的寬度相比較小。
在一些方式中,包括背側電力軌的半導體元件具有單元。此些單元彼此抵靠,而不與佈局視圖的前側中的電力軌重疊。因此,在兩個抵靠單元的兩個相鄰訊號軌上,設置的至少兩個通孔彼此相鄰且緊靠佈置。在此種情況下,難以利用受限的製造技術製造此些兩個通孔。即便偶然製造了此些兩個通孔,通孔間發送的對應資料訊號彼此干涉。
與以上方式相比,在本揭示內容的實施例中,例如參考第2圖至第3B圖,背側電力軌371-372包括在半導體元件300中,此半導體元件包括單元C11及C21。在佈局視圖中,藉由佈置第2圖中的圖案化為LFZ的禁區,在兩個相鄰訊號軌中設置的單元C11的接觸通孔211-212及單元C21的221-222彼此分離達至少距離D1、D2或D3。由此,接觸通孔未彼此過於靠近,並且易於製造。
現在參考第4A圖至第4B圖。第4A圖至第4B 圖是根據本揭示內容的一些實施例的第3A圖至第3B圖所示的半導體元件300的橫截面圖。第4A圖是沿著第3A圖的線A-A’的橫截面圖。第4B圖是沿著第3A圖的線C-C’的橫截面圖。為了易於理解,參考第4B圖論述關於第4A圖的實施例,並且僅示出與第3A圖至第3B圖所示的對應結構相關聯的一些結構作為示例性實施例。關於第3A圖至第3B圖的實施例的半導體元件300,為了易於理解,第4A圖至第4B圖中的類似元件用相同元件符號指代。
如第4A圖中示出,MD區段321及322分別在磊晶結構(epitaxy structure)421及422上設置,並且矽化物層(silicide layer)411及412分別在其間上方設置。MD區段321、矽化物層411及磊晶結構421藉由隔離結構(isolation structure)431與MD區段322、矽化物層412及磊晶結構422間隔開。介電結構(dielectric structure)441在MD區段321及322、磊晶結構421及422以及隔離結構431之間填充。
在一些實施例中,磊晶結構421及422與第3A圖中示出的主動區對應。在一些其他實施例中,磊晶結構421及422包括Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP、或其他適宜材料。
在一些實施例中,矽化物層411及412分別覆蓋磊晶結構421及422的頂部。在一些其他實施例中,矽化物層411及412分別嵌入磊晶結構421及422中。在各 個實施例中,磊晶結構421及422包括CoSi2、TiSi2、WSi2、NiSi2、MoSi2、TaSi2、PtSi、或類似者。
在一些實施例中,隔離結構431是淺溝槽隔離(shallow trench isolation,STI)結構、適宜隔離結構、其組合或類似者。在一些其他實施例中,隔離結構431由氧化物(例如,氧化矽)或氮化物(例如,氮化矽)製成。
在一些實施例中,介電結構441由高介電常數介電材料製成,諸如金屬氧化物、過渡金屬氧化物、或類似者。高介電常數介電材料的實例包括但不限於氧化鉿(HfO2)、氧化鉿矽(HfSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鋯、氧化鈦、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、或其他適用介電材料。
參考第4A圖,層間介電(interlayer dielectric,ILD)層451在MD區段321與322及介電結構441之上設置。介電結構461填充在訊號軌351、352、353及354之間,並且在一些實施例中亦指示為M0層。接觸通孔331在ILD層451中設置,並且接觸MD區段322及訊號軌353兩者。
在一些實施例中,ILD層451包括氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(TEOS)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、低介電常數介電材料、及/或其他適宜介電材料。低介電常數介電材料的實例包括 但不限於氟化矽玻璃(FSG)、碳摻雜的氧化矽、非晶氟化碳、聚對二甲苯、雙-苯并環丁烯(BCB)、或聚醯亞胺。
參考第4A圖,背側ILD層471在磊晶結構421及422、隔離結構431、以及介電結構441之下設置。背側電力軌371在背側ILD層471及背側通孔361之下設置。介電結構(未圖示)填充在背側電力軌371周圍,並且在一些實施例中亦指示為BM0層。背側通孔361在背側ILD層471中設置,並且接觸MD區段321及背側電力軌371兩者。在一些實施例中,背側ILD層471及ILD層451包括相同材料。
與第4A圖相比,在第4B圖所示的半導體元件300的橫截面圖中,間隔件(spacer)481設置在閘極311的相對側壁上,並且在設置在磊晶結構421之上的MD區段321與設置在磊晶結構423之上的MD區段323之間。介電結構441填充在閘極311-313、MD區段321及323、磊晶結構421及423、以及間隔件481之間。閘極通孔341在ILD層451中設置,並且接觸閘極311及訊號軌352兩者。
在一些實施例中,間隔件481包括SiO2、Si3N4、SiOxNy、SiC、SiCN膜、SiOC、SiOCN膜、及/或其組合。
現在參考第5圖。第5圖是根據本揭示內容的一些實施例的半導體元件的示意性佈局圖500。在一些實施例中,佈局圖500是第2圖所示的佈局圖200中的單元 C11的放大視圖。在一些實施例中,佈局圖500用於製造第3A圖至第4B圖中的半導體元件300。在各個實施例中,佈局圖500用於製造第17圖至第18C圖中的半導體元件1700。在基於給定佈局圖特徵形成的給定佈局圖特徵之間的對應性,如下文論述,相同參考指示符在佈局圖及結構描繪的每一者中使用。為了簡單說明,MD區段未在第5圖中圖示。關於第2圖至第4B圖的實施例的佈局圖500,為了易於理解,第5圖中的類似元件用相同元件符號指代。
如第5圖中示出,單元C11在列R[1]中佈置,此列在列R[0]與R[2]之間佈置。在單元C11中,設置多個閘極311、312、314、315及316,並且設置多個訊號軌351、352、355及356。佈局圖500進一步包括圖案化為DLFZ及GLFZ的若干禁區,此些禁區參考第6A圖至第6B圖詳細論述。此些禁區沿著列R[0]-R[1]的邊界佈置。換言之,禁區沿著包括頂部單元邊界CB1及底部單元邊界CB2的單元邊界佈置。
在一些實施例中,如參考第3A圖至第4B圖論述,在單元C11內側的禁區是在單元C11的主動區中包括的一些分離區域。在一些其他實施例中,在單元C11外側的禁區是在其他單元(未圖示)中包括的主動區的一些其他分離區域。此些其他單元抵靠單元C11,並且在對應列R[0]及R[2]中佈置。例如,此些其他單元包括在第2圖所示的列R[2]中的單元C21、及在第3A圖所示的列R[0]中的 單元C01。
為了實施參考第3A圖至第4B圖論述的半導體元件300,決定是否佈置通孔,其中通孔包括閘極通孔及接觸通孔。具體地,基於圖案化為DLFZ及GLFZ的禁區,決定在對應單元中的具體區域中的何處佈置通孔。
在一些實施例中,提供第一準則,以決定是否佈置接觸通孔。為了在第5圖中說明,當遵循第一準則時,接觸通孔不佈置在圖案化為DLFZ的禁區中。下文參考第6A圖的實施例論述第一準則。
在一些實施例中,提供第二準則,以決定是否佈置閘極通孔。為了在第5圖中說明,當遵循第二準則時,閘極通孔不佈置在圖案化為GLFZ的禁區中。下文參考第6B圖的實施例論述第二準則。
現在參考第6A圖至第6B圖。第6A圖至第6B圖是根據本揭示內容的一些實施例的半導體元件的示意性佈局圖600A-600B。在一些實施例中,佈局圖600A及600B是第5圖所示的佈局圖500的替代實施例。佈局圖600A或600B具有與如第5圖中示出的佈局圖500的構造類似的構造,並且由此省略類似的詳細描述。關於第5圖的實施例的佈局圖600A及600B,為了易於理解,第6A圖至第6B圖中的類似元件用相同元件符號指代。
與第5圖相比,在第6A圖所示的佈局圖600A中,未示出圖案化為GLFZ的禁區。在佈局圖600A中,圖案化為DLFZ的禁區沿著單元邊界CB1-CB2設置,並 且彼此分離。換言之,此些禁區是類似城堡形狀的且沿著單元邊界CB1-CB2規則地佈置。例如,參考第6A圖,禁區611、612、613、614及615抵靠單元邊界CB1設置,並且未標記的一些其他禁區抵靠單元邊界CB2設置。關於單元邊界CB1,禁區611及612對角地設置,並且禁區613及614亦對角地設置,且依此類推。
如第6A圖中示出,禁區611、613及615在單元C11外側設置,並且其底側位於單元邊界CB1處。禁區612及614在單元C11內側設置,並且其頂側位於單元邊界CB1處。
此外,關於Y方向,禁區612抵靠區域621。區域621包括在抵靠單元(未圖示)中的主動區中,並且間隔開禁區611及613。類似地,禁區614抵靠區域622。區域622包括在抵靠單元中的主動區中,並且間隔開禁區613及615。
關於第一準則,存在要在第一準則中遵循的一些條件。當滿足此些條件時,允許在至少兩個抵靠單元中形成具有高密度的接觸通孔。
第一準則的一個條件是存在抵靠目標單元的單元,用於產生與基於目標單元產生的電路不同的另一電路。為了說明第6A圖,單元C11是目標單元,並且另一單元(未圖示)抵靠單元C11。
第一準則的另一條件是至少一個接觸通孔在抵靠目標單元中的主動區域的至少一個區域中佈置。為了說明 第6A圖,接觸通孔(未圖示)在抵靠單元C11中的區域(指示為禁區612)的區域621中佈置。類似地,接觸通孔(未圖示)在抵靠單元C11中的區域(指示為禁區614)的區域622中佈置。
當滿足以上條件時,允許將至少一個接觸通孔佈置在目標單元中的主動區域中,除了抵靠在佈置有接觸通孔的抵靠單元中的區域的區域。為了說明第6A圖,當接觸通孔佈置在區域621及622中時,單元C11中的接觸通孔531、532、533及534在抵靠區域621及622的禁區612及614外側佈置。由此,在禁區612及614中未佈置接觸通孔。
在一些實施例中,先前提及的在抵靠單元中的接觸通孔及圖案化為DLFZ的禁區的構造視為是第一準則。當遵循第一準則時,如第6A圖中示出,接觸通孔531-533在單元C11中設置。
當抵靠單元用單元C11作為目標單元替代時,在一些實施例中,亦提供第一準則,以決定在此種抵靠單元中的何處佈置接觸通孔。例如,參考第6A圖,當接觸通孔532設置在抵靠禁區611的區域中時,不允許在禁區611中設置接觸通孔。類似地,當接觸通孔533及534分別設置在抵靠禁區613及615的區域中時,禁止將接觸通孔設置在禁區613及615中。
與第5圖相比,在第6B圖所示的佈局圖600B中,未示出圖案化為DLFZ的禁區。與第6A圖相比,在第6B 圖所示的佈局圖600B中,示出圖案化為GLFZ的禁區,此些禁區用圖案化為DLFZ的禁區替代。第6B圖中的禁區具有與第6A圖中的禁區類似的構造,並且由此省略類似的詳細描述。
如第6B圖中示出,禁區631、633及635設置在單元C11外側,並且其頂側位於單元邊界CB2處。禁區632及634設置在單元C11內側,並且其底側位於單元邊界CB2處。此外,區域641及642包括在另一抵靠單元(未圖示)中的主動區中,並且分別間隔開禁區631及633,以及間隔開禁區633及635。
關於第二準則,存在要在第二準則中遵循的一些條件。當滿足此些條件時,允許在至少兩個抵靠單元中形成具有高密度的閘極通孔。
與由第一準則遵循的條件類似,第二準則的條件包括存在至少兩個抵靠單元,用於產生相應電路,並且至少一個閘極通孔在抵靠另一個單元的主動區域的一個單元的至少一個區域中佈置。為了在第6B圖中說明,單元(未圖示)及單元C11彼此抵靠。此外,閘極通孔(未圖示)在區域641中佈置,此區域抵靠單元C11中被指示為禁區632的區域。類似地,閘極通孔(未圖示)在區域642中佈置,此區域抵靠單元C11中被指示為禁區634的區域。
當滿足以上條件時,允許抵靠單元之一中的主動區域中佈置至少一個閘極通孔,除了抵靠佈置有彼閘極通孔的另一者中的區域的區域。為了在第6B圖中說明,當閘極 通孔在區域641及642中佈置時,單元C11中的閘極通孔341在抵靠區域641及642的禁區631及632外側佈置。由此,閘極接觸通孔未在禁區631及632中佈置。
在一些實施例中,先前提及的抵靠單元中的閘極通孔及圖案化為GLFZ的禁區的構造被認為是第二準則。當遵循第二準則時,如第6B圖中示出,閘極通孔341在單元C11中設置。
當決定在單元C11中的閘極通孔的佈置時,在一些實施例中,亦提供第二準則來決定將閘極通孔佈置在抵靠單元中的何處。例如,參考第6B圖,當閘極通孔341設置在抵靠禁區635的區域中時,不允許將閘極通孔設置在禁區635中。
與在兩個抵靠單元中通孔彼此相鄰且緊靠佈置的以上方式相比,在本揭示內容的實施例中,例如,參考第5圖至第6B圖,在佈局圖500-600B的佈局視圖中,藉由佈置圖案化為DLFZ及/或GLFZ的禁區,避免了在此些抵靠單元中的閘極通孔或接觸通孔放置得彼此過於靠近,並且進一步簡化製造的困難性。
在一些實施例中,針對第7A圖至第7D圖中的說明,在第5圖至第6B圖中圖案化為DLFZ及GLFZ的禁區的構造藉由單元幾何形狀界定。例如,單元幾何形狀包括單元高度、閘極及訊號軌的數量、及在兩個相鄰閘極之間與在兩個相鄰訊號軌之間的間隔。
現在參考第7A圖至第7D圖。第7A圖至第7D 圖是根據本揭示內容的一些實施例的半導體元件的示意性佈局圖700A-700D。在一些實施例中,佈局圖700A-700D是第5圖所示的佈局圖500或第6A圖至第6B圖所示的佈局圖600A-600B的替代實施例。為了簡單說明,在第7A圖至第7D圖中僅圖示數個元件。
如第7A圖中示出,單元(未標記)包括在佈局圖700A中。關於Y方向,單元具有單元高度H1,此單元高度H1實質上等於其中設置此種單元的對應列的高度。高度H1亦稱為單元高度H1。在單元中,關於X方向,多個閘極711-712經設置,並且彼此分離達閘極節距P1。在單元中,關於Y方向,亦設置了具有相同寬度的多個訊號軌(未標記)。關於Y方向,此些訊號軌彼此分離達訊號軌節距P2。後文將訊號軌節距P2指示為M0節距。
在一些實施例中,單元高度H1實質上等於M0節距P2的四倍至五倍。因此,約四個訊號軌設置在一個單元中。
在一些實施例中,圖案化為DLFZ的禁區具有相同的大小。在一些其他實施例中,參考第7A圖,關於X方向,一個禁區731的長度L1實質上等於一個閘極節距P1(亦即,L1=1*P1)。關於Y方向,禁區731的寬度W1實質上在一個M0節距P2到M0節距P2的兩倍的範圍中(亦即,W1=1*P2~2*P2)。由此,利用此種構造,當禁區731或732沿著單元的頂部或底部邊界佈置時,此種禁區731或732與佈局視圖中的一個訊號軌部分重疊。
在一些實施例中,關於第一準則,界定具有以上構造的禁區的佈置及分佈。將參考第7A圖至第7B圖所論述的禁區的此種佈置及分佈指示為第一約束。例如,參考第7A圖,在一個單元中,至少兩個禁區731及732在兩個相鄰閘極711與712之間佈置。同時,在包括閘極711及712中的一者的其他兩個相鄰閘極之間未佈置其他禁區。在另一實例中,參考第7B圖,至少兩個禁區731、732及733在閘極711與712之間佈置,並且禁區731及733關於Y方向堆疊。由此,利用以上佈置及分佈,兩個相鄰閘極711-712其間佈置有至少兩個禁區731-733。
與第7A圖相比,在第7C圖所示的佈局圖700C中,圖案化為DLFZ的禁區具有不同的佈置及分佈。
如上文論述,當遵循第一準則時,接觸通孔在至少兩個抵靠單元中具有高密度的分佈。例如,參考回第2圖,在單元C11及C21中,特別是在跨過其單元邊界CB1的區(未標記)處,接觸通孔211-212及221-222具有高密度構造。在此種區域中,在接觸通孔211及221之間的距離D1實質上等於閘極節距P1的兩倍(亦即,D1=2*P1)。關於Y方向,此些兩個接觸通孔211及221藉由圖案化為LFZ的一個禁區彼此分離。在接觸通孔211與212之間的距離D2實質上等於M0節距P2的兩倍(亦即,D2=2*P2)。關於X方向,此些兩個接觸通孔211及212藉由另一禁區彼此分離。在接觸通孔211與222之間的距離D3實質上等於閘極節距P1的平方與M0節距 P2的平方的總和的平方根(亦即,
Figure 110111662-A0305-02-0028-1
)。此些兩個接觸通孔彼此分離並且對角地佈置。在一些實施例中,通孔212及222間隔開達距離D3。
在一些實施例中,關於第一準則,界定禁區的另一佈置及分佈。將參考第7C圖至第7D圖所論述的禁區的此種佈置及分佈指示為第二約束。例如,參考第7C圖,在一個單元中,至少一個禁區752在兩個相鄰閘極711與712之間佈置。同時,至少一個禁區751或753亦在包括閘極711及712中的一者的其他兩個相鄰閘極之間佈置。在另一實例中,參考第7D圖,至少一個禁區751、752、753及754在每兩個閘極711與712之間佈置,並且禁區753及754關於Y方向堆疊。由此,利用上文的佈置及分佈,每兩個相鄰閘極711-712其間佈置有至少一個禁區751-754。
在一些實施例中,第5圖及第6B圖中圖示的圖案化為GLFZ的禁區及圖案化為DLFZ的禁區具有與上文參考第7A圖至第7D圖論述者類似的構造。
在一些實施例中,關於第二準則,第5圖及第6B圖中圖示的圖案化為GLFZ的禁區具有與圖案化為DLFZ的禁區類似的佈置及分佈。換言之,如上文分別參考第7A圖至第7B圖及第7C圖至第7D圖所論述,第二準則包括在第一準則中的類似約束,其中此約束包括第一及第二約束。在圖案化為GLFZ及圖案化為DLFZ的禁區之間的差異是在禁區與閘極之間的相對位置。例如,參考第6B圖, 在單元C11中,禁區632及634與閘極315及312重疊。關於Y方向,禁區632及634中的每一者的中間實質上與閘極315及312對準。另一方面,參考第6A圖,在單元C11中,禁區612及614在相鄰閘極314-315之間且在相鄰閘極311-312之間佈置。
現在參考第8圖。第8圖是根據本揭示內容的一些實施例的半導體元件的示意性佈局圖800。在一些實施例中,佈局圖800是第2圖或第5圖所示的佈局圖200或500的替代實施例。在各個實施例中,佈局圖800用於製造第3A圖至第4B圖中的半導體元件300或第17圖至第18C圖中的半導體元件1700。如下文論述在基於給定佈局圖特徵形成的給定佈局圖特徵之間的對應性,相同參考指示符在佈局圖及結構描繪的每一標記者中使用。為了簡單說明,在第8圖中僅標記數個元件。關於第2圖至第5圖的實施例的佈局圖800,為了易於理解,在第8圖中的類似元件用相同元件符號指示。
如第8圖中示出,將兩個單一高度單元C11及C01包括在佈局圖800中。單元C11在包括CB1及CB2的單元邊界之間界定。在單元C11中,主動區A1及A2經佈置並且包括各種摻雜材料。在一些實施例中,關於單一高度單元C11,界定對應於主動區A1的單元邊界CB1,並且界定對應於主動區A2的另一邊界CB2。類似地,單元C01在包括CB2及CB3的單元邊界之間界定。在單元C01中,主動區A3及A4經佈置並且包括各種摻雜材料。 在一些實施例中,關於單一高度單元C01,界定對應於主動區A3的單元邊界CB2,並且界定對應於主動區A的另一邊界CB3。
在一些實施例中,主動區A1由n型摻雜材料製成,並且單元C11的單元邊界CB1鄰近主動區A1定位。在一些實施例中,主動區A2由p型摻雜材料製成,並且單元C11的單元邊界CB2鄰近主動區A2定位。此外,單元C01的單元邊界CB2亦鄰近主動區A3定位,主動區A3由p型摻雜材料製成。在一些實施例中,主動區A4由n型摻雜材料製成,並且單元C01的單元邊界CB3鄰近主動區A4定位。
在一些實施例中,參考第8圖,關於Y方向,單元C11具有單元高度H2,並且單元C01具有單元高度H3。在一些實施例中,單元C11對應於在至少第5圖至第7B圖中示出的單元C11。在一些其他實施例中,單元高度H2等於單元高度H1。在各個實施例中,單元高度H1-H3是相同的。在一些實施例中,單元高度H1-H3中的至少一者彼此不同。
為了實施IC中包括的各個半導體元件,使用或修改為使用如上文參考第1圖、第2圖、第5圖、第6A圖至第6B圖、第7A圖至第7D圖及第8圖所論述的佈局圖,如由下文關於第9A圖至第14B圖所論述的非限制性實例示出。此些半導體元件對應於參考第3A圖至第4B圖論述的半導體元件300或參考第17圖至第18C圖論述的半導 體元件1700。在下文論述的各個實施例中,本揭示內容的一些實施例的半導體元件或IC在使用第9B圖至第9D圖、第10B圖至第10D圖、第11B圖至第11D圖、第12B圖至第12D圖、第13B圖至第13D圖、以及第14B圖中描繪的佈局圖(包括單一高度單元)時實施,此些佈局圖對應於所指示的第9A圖、第10A圖、第11A圖、第12A圖、第13A圖及第14A圖中描繪的電路圖。注意到,此些佈局圖僅僅示出對應半導體元件的前側,並且當遵循如上文參考第5圖至第7D圖所論述的具有各個約束的準則時提供。
現在參考第9A圖。第9A圖是根據本揭示內容的一些實施例的IC 900A的電路圖。在一些實施例中,IC 900A用作實施反向器的一個單位單元/電路。
為了說明IC 900A,如由連接I指示,PMOS電晶體P1的閘極端子耦接到NMOS電晶體N1的閘極端子。在一些實施例中,將連接I指示為輸入端子,用於將控制訊號提供到PMOS電晶體P1及NMOS電晶體N1兩者。
PMOS電晶體P1的源極/汲極端子耦接到節點A1。PMOS電晶體P1的源極/汲極端子耦接到節點A2。NMOS電晶體N1的源極/汲極端子耦接到節點B1。NMOS電晶體N2的源極/汲極端子耦接到節點B2。節點A1進一步耦接到參考為VDD的電力軌。節點B1進一步耦接到參考為VSS的另一電力軌。如由連接ZN指示,節點A2進一步耦接到節點B2。為了實施IC 900A,佈局 設計及/或結構的實施例經提供且在下文參考第9B圖至第9D圖示而論述。
為了清楚闡明圖案化為DLFZ及GLFZ的各個禁區,第9B圖至第9D圖中的以下佈局圖900B-900D具有分離的圖A及B,用於分別示出圖案DLFZ及GLFZ。此外,為了簡單說明,類似元件未在佈局圖900B-900D中重複標記,並且由此省略類似的詳細描述。
第9B圖是根據本揭示內容的一些實施例的第9A圖中的IC 900A的佈局圖900B。藉由使第一準則遵循第一約束,在第9B圖的圖A中提供佈局圖900B。藉由使第二準則遵循第一約束,亦在第9B圖的圖B中提供佈局圖900B。
如第9B圖的圖A中示出,在第9A圖中將閘極911佈置為PMOS電晶體P1及NMOS電晶體N1的閘極端子。在第9A圖中將MD區段921、922及923佈置為PMOS電晶體P1或NMOS電晶體N1的源極/汲極。
閘極911及MD區段921與922一起對應於PMOS電晶體P1。閘極911及MD區段923與922一起對應於NMOS電晶體N1。在此種實施例中,PMOS電晶體P1共用MD區段922,此MD區段922對應於第9A圖中示出的在節點A2及B2處耦接在一起的PMOS電晶體P1。其亦對應於第9A圖中示出的在連接ZN之間耦接的節點A2及B2。
佈置接觸通孔931。佈置訊號軌951及952。接 觸通孔931將MD區段922耦接到訊號軌952。
佈置閘極通孔941。閘極通孔941將閘極911耦接到訊號軌951,此訊號軌951對應於如上文關於第9A圖論述的在連接I之間耦接的PMOS電晶體P1或NMOS電晶體N1的閘極。
背側通孔(未圖示)在佈局圖900B中示出的相同單元的背側處佈置。背側通孔中的一者將MD區段921耦接到背側電力軌(未圖示),此電力軌對應於上文關於第9A圖論述的耦接到電力軌VDD的節點A1。背側通孔(未圖示)中的另一者將MD區段923耦接到背側電力軌(未圖示),此電力軌對應於上文關於第9A圖論述的耦接到電力軌VSS的節點B1。
佈置禁區961、962、963及964。禁區961-964對應於如上文參考第5圖至第6A圖論述的禁區611-615。禁區961-964的佈置及分佈進一步對應於上文參考第7A圖至第7B圖論述者。由此,利用此種構造,在禁區961-964中不形成接觸通孔。
與第9B圖的圖A相比,在第9B圖的圖B中圖示的佈局圖900B中,佈置禁區971、972、973、974、975及976。禁區971-976對應於如上文參考第5圖及第6B圖論述的禁區631-636。禁區971-976的佈置及分佈對應於上文參考第7A圖至第7B圖論述者。由此,利用此種構造,在禁區971-976中不形成閘極通孔。
第9C圖是根據本揭示內容的一些實施例的第9A 圖中的IC 900A的佈局圖900C。藉由使第一準則遵循第二約束,在第9C圖的圖A中提供佈局圖900C。藉由使第二準則遵循第二約束,亦在第9B圖的圖B中提供佈局圖900C。
與第9B圖的圖A相比,在第9C圖的圖A中圖示的佈局圖900C中,考慮到第二約束,在不同位置處佈置禁區961-964。禁區961-964的佈置及分佈對應於上文參考第7C圖至第7D圖所論述者。由此,利用此種構造,在禁區961-964中不形成接觸通孔。
與第9B圖的圖B相比,在第9C圖的圖B中圖示的佈局圖900C中,考慮到第二約束,禁區971-976在不同位置處佈置。禁區971-976的佈置及分佈對應於上文參考第7C圖至第7D圖所論述者。由此,利用此種構造,在禁區971-976中不形成閘極通孔。
第9D圖是根據本揭示內容的一些實施例的第9A圖中的IC 900A的佈局圖900D。藉由使第一準則遵循第一約束,佈局圖900D在第9D圖的圖A中提供。藉由使第二準則遵循第二約束,佈局圖900D亦在第9D圖的圖B中提供。
藉由使第一準則遵循第一約束,在第9D圖的圖A中的佈局圖900D及在第9B圖的圖A中的佈局圖900B是相同的。藉由使第二準則遵循第二約束,在第9D圖的圖B中的佈局圖900D及在第9C圖的圖B中的佈局圖900C是相同的。因此,本文未詳細論述。
現在參考第10A圖。第10A圖是根據本揭示內容的一些實施例的IC 1000A的電路圖。在一些實施例中,IC 1000A用作實施NAND閘極的一個單位單元/電路。
為了說明IC 1000A,如由連接I1指示,PMOS電晶體P1的閘極端子耦接到NMOS電晶體N1的閘極端子。如由連接I2指示,PMOS電晶體P2的閘極端子耦接到NMOS電晶體N2的閘極端子。在一些實施例中,將連接I1及I2指示為輸入端子,用於分別將對應的控制訊號提供到PMOS電晶體P1及NMOS電晶體N1兩者,以及PMOS電晶體P2及NMOS電晶體N2兩者。
PMOS電晶體P1的源極/汲極端子耦接到節點A1;在節點A2處PMOS電晶體P1的源極/汲極端子耦接到PMOS電晶體P2的源極/汲極端子;並且PMOS電晶體P2的源極/汲極端子耦接到節點A3。節點A1進一步耦接到節點A3。節點A2進一步耦接到參考為VDD的電力軌。NMOS電晶體N1的源極/汲極端子耦接到節點B1;NMOS電晶體N1的源極/汲極端子耦接到NMOS電晶體N2的源極/汲極端子;以及NMOS電晶體N2的源極/汲極端子耦接到節點B2。節點B1進一步耦接到參考為VSS的電力軌。如由連接ZN指示,節點B2進一步耦接到節點A3。為了實施IC 1000A,佈局設計及/或結構的實施例經提供並且在下文參考第10B圖至第10D圖而論述。
為了清楚闡明圖案化為DLFZ及GLFZ的各個禁區,第10B圖至第10D圖中的以下佈局圖1000B-1000D 具有分離的圖A及B,用於分別示出圖案DLFZ及GLFZ。此外,為了簡單說明,類似元件在佈局圖1000B-1000D中未重複標記,並且由此省略類似的詳細描述。
第10B圖是根據本揭示內容的一些實施例的第10A圖中的IC 1000A的佈局圖1000B。藉由使第一準則遵循第一約束,在第10B圖的圖A中提供佈局圖1000B。藉由使第二準則遵循第一約束,亦在第10B圖的圖B中提供佈局圖1000B。
如第10B圖的圖A中示出,閘極1011及1012經佈置為第10A圖中的PMOS電晶體P1-P2或NMOS電晶體N1-N2的閘極端子。MD區段1021、1022、1023、1024及1025經佈置為第10A圖中的PMOS電晶體P1-P2或NMOS電晶體N1-N2的源極/汲極端子。
閘極1011及MD區段1021與1022一起對應於PMOS電晶體P1。閘極1012及MD區段1022與1023一起對應於PMOS電晶體P2。在此種構造中,PMOS電晶體P1及P2共用MD區段1022,此MD區段1022對應於第10A圖中示出的在節點A2處耦接的PMOS電晶體P1及P2。閘極1011及MD區段1024與1025一起對應於NMOS電晶體N1。閘極1012及MD區段1025與1023一起對應於NMOS電晶體N2。在此種構造中,PMOS電晶體P2及NMOS電晶體N2共用MD區段1023,此MD區段1023對應於第10A圖中示出的耦接在一起的PMOS電晶體P2及NMOS電晶體N2。其亦對 應於第10A圖中示出的在連接ZN之間耦接的節點A3及B2。
佈置接觸通孔1031及1032。佈置訊號軌1051、1052、1053及1054。接觸通孔1031將MD區段1021耦接到訊號軌1051。接觸通孔1032將MD區段1023耦接到訊號軌1051。利用此種構造,MD區段1021及1023耦接在一起,這對應於如上文關於第10A圖論述的耦接在一起的節點A1及A3。
佈置閘極通孔1041及1042。閘極通孔1041將閘極1011耦接到訊號軌1052,此訊號軌1052對應於如關於第10A圖上文論述在連接I1之間耦接的PMOS電晶體P1或NMOS電晶體N1的閘極。閘極通孔1042將閘極1012耦接到訊號軌1053,此訊號軌1053對應於如上文關於第10A圖論述在連接I2之間耦接的PMOS電晶體P2或NMOS電晶體N2的閘極。
背側通孔(未圖示)在佈局圖1000B中示出的相同單元的背側處佈置。背側通孔中的一者將MD區段1022耦接到背側電力軌(未圖示),此電力軌對應於如上文關於第10A圖論述的耦接到電力軌VDD的節點A2。背側通孔(未圖示)中的另一者將MD區段1024耦接到背側電力軌(未圖示),此電力軌對應於如上文關於第10A圖論述的耦接到電力軌VSS的節點B1。
佈置禁區1061、1062、1063、1064、1065及1066。禁區1061-1066對應於如上文參考第5圖至 第6A圖論述的禁區611-615。禁區1061-1066的佈置及分佈進一步對應於上文參考第7A圖至第7B圖論述者。由此,利用此種構造,在禁區1061-1066中不形成接觸通孔。
與第10B圖的圖A相比,在第10B圖的圖B中圖示的佈局圖1000B中,佈置禁區1071、1072、1073、1074、1075、1076、1077及1078。禁區1071-1078對應於如上文參考第5圖及第6B圖所論述的禁區631-636。禁區1071-1078的佈置及分佈對應於上文參考第7A圖至第7B圖論述者。由此,利用此種構造,在禁區1071-1078中不形成閘極通孔。
第10C圖是根據本揭示內容的一些實施例的第10A圖中的IC 1000A的佈局圖1000C。藉由使第一準則遵循第二約束,在第10C圖的圖A中提供佈局圖1000C。藉由使第二準則遵循第二約束,亦在第10B圖的圖B中提供佈局圖1000C。
與第10B圖的圖A相比,在第10C圖的圖A中圖示的佈局圖1000C中,考慮到第二約束,禁區1061-1066在不同位置處佈置。禁區1061-1066的佈置及分佈對應於上文參考第7C圖至第7D圖論述者。由此,利用此種構造,在禁區1061-1066中不形成接觸通孔。
與第10B圖的圖B相比,在第10C圖的圖B中圖示的佈局圖1000C中,考慮到第二約束,禁區1071-1078在不同位置處佈置。禁區1071-1078的佈置 及分佈對應於上文參考第7C圖至第7D圖論述者。由此,利用此種構造,在禁區1071-1078中不形成閘極通孔。
第10D圖是根據本揭示內容的一些實施例的第10A圖中的IC 1000A的佈局圖1000D。藉由使第一準則遵循第一約束,在第10D圖的圖A中提供佈局圖1000D。藉由使第二準則遵循第二約束,亦在第10D圖的圖B中提供佈局圖1000D。
藉由使第一準則遵循第一約束,在第10D圖的圖A中的佈局圖1000D及在第10B圖的圖A中的佈局圖1000B是相同的。藉由使第二準則遵循第二約束,在第10D圖的圖B中的佈局圖1000D及在第10C圖的圖B中的佈局圖1000C是相同的。因此,在此不詳細論述。
現在參考第11A圖。第11A圖是根據本揭示內容的一些實施例的IC 1100A的電路圖。在一些實施例中,IC 1100A是第10A圖所示的IC 1000A的替代實施例。IC 1100A的電路圖具有與如第10A圖中示出的IC 1000A類似的構造,並且由此省略類似的詳細描述。
與第10A圖相比,在第11A圖所示的電路圖中,節點A1及A3分別耦接到參考為VDD的電力軌。如由第11A圖所示的連接ZN指示,節點A2耦接到節點B2。為了實施IC 1100A,佈局設計及/或結構的實施例經提供並且在下文如參考第11B圖至第11D圖論述。
為了清楚闡明圖案化為DLFZ及GLFZ的各個禁區,第11B圖至第11D圖中的以下佈局圖1100B-1100D 具有分離的圖A及B,用於分別示出圖案DLFZ及GLFZ。此外,為了簡單說明,類似元件在佈局圖1100B-1100D中未重複標記,並且由此省略類似的詳細描述。
第11B圖是根據本揭示內容的一些實施例的第11A圖中的IC 1100A的佈局圖1100B。藉由使第一準則遵循第一約束,在第11B圖的圖A中提供佈局圖1100B。藉由使第二準則遵循第一約束,亦在第11B圖的圖B中提供佈局圖1100B。
如在第11B圖的圖A中示出,閘極1111及1112經佈置為第11A圖中的PMOS電晶體P1-P2或NMOS電晶體N1-N2的閘極端子。MD區段1121、1122、1123、1124、1125及1126經佈置為第11A圖中的PMOS電晶體P1-P2或NMOS電晶體N1-N2的源極/汲極端子。
閘極1111及MD區段1121與1122一起對應於PMOS電晶體P1。閘極1112及MD區段1122與1123一起對應於PMOS電晶體P2。在此種實施例中,PMOS電晶體P1及P2共用MD區段1122,此MD區段對應於第11A圖中示出的節點A2處耦接的PMOS電晶體P1及P2。閘極1111及MD區段1124與1125一起對應於NMOS電晶體N1。閘極1112及導電區段1125與1126一起對應於NMOS電晶體N2。
佈置接觸通孔1131及1132。佈置訊號軌1151、1152、1153及1154。接觸通孔1131將MD區段1122耦接到訊號軌1151。接觸通孔1133將MD區段1126 耦接到訊號軌1153。利用此種構造,MD區段1122及1126耦接在一起,這對應於如上文關於第11A圖論述的耦接在一起的節點A2及B2。其亦對應於在第11A圖中示出的連接ZN之間耦接的節點A2及B2。
佈置閘極通孔1141及1142。閘極通孔1141將閘極1111耦接到訊號軌1152,此訊號軌1152對應於如上文關於第11A圖論述的在連接I1之間耦接的PMOS電晶體P1或NMOS電晶體N1的閘極。閘極通孔1142將閘極1112耦接到訊號軌1154,此訊號軌1154對應於如上文關於第11A圖論述的在連接I2之間耦接的PMOS電晶體P2或NMOS電晶體N2的閘極。
背側通孔(未圖示)在佈局圖1100B中示出的相同單元的背側處佈置。背側通孔將MD區段1121及1123耦接到背側電力軌(未圖示),此電力軌分別對應於如上文關於第11A圖論述的耦接到電力軌VDD的節點A1及A3。背側通孔(未圖示)中的另一者將MD區段1124耦接到背側電力軌(未圖示),此電力軌對應於如上文關於第11A圖論述的耦接到電力軌VSS的節點B1。
佈置禁區1161、1162、1163、1164、1165及1166。禁區1161-1166對應於第10B圖所示的禁區1061-1066,在此不贅述。
與第11B圖的圖A相比,在第11B圖的圖B中所示的佈局圖1100B中,佈置禁區1171、1172、1173、1174、1175、1176、1177及1178。禁區1071-1078 對應於第10B圖所示的禁區1071-1078,在此不贅述。
第11C圖是根據本揭示內容的一些實施例的第11A圖中的IC 1100A的佈局圖1100C。藉由使第一準則遵循第二約束,在第11C圖的圖A中提供佈局圖1100C。藉由使第二準則遵循第二約束,亦在第11B圖的圖B中提供佈局圖1100C。在一些實施例中,禁區1161-1166對應於第10C圖所示的禁區1061-1066,在此不贅述。在一些實施例中,禁區1171-1178對應於第10C圖所示的禁區1071-1078,在此不贅述。
第11D圖是根據本揭示內容的一些實施例的第11A圖中的IC 1100A的佈局圖1100D。藉由使第一準則遵循第一約束,在第11D圖的圖A中提供佈局圖1100D。藉由使第二準則遵循第二約束,亦在第11D圖的圖B中提供佈局圖1100D。在一些實施例中,禁區1161-1166對應於第10D圖所示的禁區1061-1066,在此不贅述。在一些實施例中,禁區1171-1178對應於第10D圖所示的禁區1071-1078,在此不贅述。
現在參考第12A圖。第12A圖是根據本揭示內容的一些實施例的IC 1200A的電路圖。在一些實施例中,IC 1200A用作實施兩個不同的邏輯功能的一個單位單元/電路,此些邏輯功能包括例如AND閘極,其是NAND閘極功能及反向功能的組合。
為了說明IC 1200A,在節點E1處PMOS電晶體P1的閘極端子耦接到NMOS電晶體N1的閘極端子; 如由連接I2指示,PMOS電晶體P2的閘極端子耦接到NMOS電晶體N2的閘極端子;以及如由連接I1指示,PMOS電晶體P3的閘極端子耦接到NMOS電晶體N3的閘極端子。在一些實施例中,連接I1及I2經指示為輸入端子,用於分別將對應控制訊號提供到PMOS電晶體P3及NMOS電晶體N3兩者,以及PMOS電晶體P2及NMOS電晶體N2兩者。
PMOS電晶體P1的源極/汲極端子耦接到節點A1;在節點A2處PMOS電晶體P1的源極/汲極端子耦接到PMOS電晶體P2的源極/汲極端子;在節點A3處PMOS電晶體P2的源極/汲極端子耦接到PMOS電晶體P3的源極/汲極端子;PMOS電晶體P3的源極/汲極端子耦接到節點A4。NMOS電晶體N1的源極/汲極端子耦接到節點B1;在節點B2處NMOS電晶體N1的源極/汲極端子耦接到NMOS電晶體N2的源極/汲極端子;NMOS電晶體N2的源極/汲極端子耦接到NMOS電晶體N3的源極/汲極端子;以及NMOS電晶體N3的源極/汲極端子耦接到節點B3。如由連接Z指示,節點A1進一步耦接到節點B1。節點A2及A4進一步耦接到參考為VDD的電力軌。在節點E2處節點A3進一步耦接到節點E1,並且如由連接ZN指示,節點E1亦進一步耦接到節點B3。節點B2進一步耦接到參考為VSS的另一電力軌。為了實施IC 12A,佈局設計及/或結構的實施例經提供並且在下文如參考第12B圖至第12D圖論述。
為了清楚闡明圖案化為DLFZ及GLFZ的各個禁區,第12B圖至第12D圖中的以下佈局圖1200B-1200D具有分離的圖A及B,用於分別示出圖案DLFZ及GLFZ。此外,為了簡單說明,類似元件在佈局圖1200B-1200D中未重複標記,並且由此省略類似的詳細描述。
第12B圖是根據本揭示內容的一些實施例的第12A圖中的IC 1200A的佈局圖1200B。藉由使第一準則遵循第一約束,在第10B圖的圖A中提供佈局圖1200B。藉由使第二準則遵循第一約束,亦在第12B圖的圖B中提供佈局圖1200B。
如第12B圖的圖A中示出,閘極1211、1212及1213經佈置為第10A圖中的PMOS電晶體P1-P3或NMOS電晶體N1-N3的閘極端子。MD區段1221、1222、1223、1224、1225、1226及1227經佈置為第12A圖中的PMOS電晶體P1-P3或NMOS電晶體N1-N3的源極/汲極端子。
閘極1211及MD區段1221與1222一起對應於PMOS電晶體P1。閘極1212及MD區段1222與1223一起對應於PMOS電晶體P2。閘極1213及MD區段1223與1224一起對應於PMOS電晶體P3。在此種構造中,PMOS電晶體P1及P2共用MD區段1222,此MD區段對應於第12A圖中示出的節點A2處耦接的PMOS電晶體P1及P2。PMOS電晶體P2及P3共用MD區段1223,此MD區段對應於第12A圖中示出的節點A3處 耦接的PMOS電晶體P2及P3。
此外,閘極1211及MD區段1221與1225一起對應於NMOS電晶體N1。閘極1212及MD區段1225與1226一起對應於NMOS電晶體N2。閘極1213及MD區段1226與1227一起對應於NMOS電晶體N3。在此種構造中,PMOS電晶體P1及NMOS電晶體N1共用MD區段1221,此MD區段對應於在節點A1及B1處耦接在一起的PMOS電晶體P1及NMOS電晶體N1。其亦對應於第12A圖中示出的在連接Z之間耦接的節點A1及B1。此外,NMOS電晶體N1及N2共用MD區段1225,此MD區段對應於第12A圖中示出的節點B2處耦接的NMOS電晶體N1及N2。
佈置接觸通孔1231、1232及1233。佈置訊號軌1251、1252、1253、1254及1255。接觸通孔1231將MD區段1221耦接到訊號軌1251,用於發送第一資料訊號(未圖示),此第一資料訊號亦在連接Z內發送。接觸通孔1232將MD區段1223耦接到訊號軌1252,用於發送第二資料訊號(未圖示)。接觸通孔1233將MD區段1227耦接到訊號軌1254,用於發送第二資料訊號。在此種構造中,MD區段1223及1227接收相同的資料訊號,此資料訊號對應於如上文關於第12A圖論述的耦接在一起的節點A3及B3。
佈置閘極通孔1241、1242及1243。閘極通孔1241將閘極1211耦接到訊號軌1254,此訊號軌1254 對應於如上文關於第12A圖論述的在節點E1處耦接在一起的PMOS電晶體P1或NMOS電晶體N1的閘極,用於發送第二資料訊號。在此種構造中,MD區段1223與1227及閘極1211接收相同的資料訊號,此資料訊號進一步對應於如上文關於第12A圖論述的在連接ZN之間耦接的節點E1、E2及B3。閘極通孔1242將閘極1212耦接到訊號軌1253,此訊號軌1253對應於如上文關於第12A圖論述的在連接I2之間耦接的PMOS電晶體P2或NMOS電晶體N2的閘極。閘極通孔1243將閘極1213耦接到訊號軌1255,此訊號軌1255對應於如上文關於第12A圖論述的在連接I1之間耦接的PMOS電晶體P3或NMOS電晶體N3的閘極。
背側通孔(未圖示)在佈局圖1200B中示出的相同單元的背側處佈置。背側通孔將MD區段1222及1224耦接到背側電力軌(未圖示),此電力軌分別對應於如上文關於第12A圖論述的耦接到電力軌VDD的節點A2及A4。背側通孔(未圖示)的另一者將MD區段1225耦接到背側電力軌(未圖示),此電力軌對應於如上文關於第12A圖論述的耦接到電力軌VSS的節點B2。
佈置禁區1261、1262、1263、1264、1265、1266、1267及1268。禁區1261-1268對應於如上文參考第5圖至第6A圖論述的禁區611-615。禁區1261-1268的佈置及分佈進一步對應於上文參考第7A圖至第7B圖論述者。由此,利用此種構造,在禁區 1261-1268中不形成接觸通孔。
與第12B圖的圖A相比,在第12B圖的圖B中圖示的佈局圖1200B中,佈置禁區1270、1271、1272、1273、1274、1275、1276、1277、1278及1279。禁區1270-1279對應於如上文參考第5圖及第6B圖論述的禁區631-636。禁區1270-1279的佈置及分佈對應於上文參考第7A圖至第7B圖論述者。由此,利用此種構造,在禁區1270-1279中不形成閘極通孔。
第12C圖是根據本揭示內容的一些實施例的第12A圖中的IC 1200A的佈局圖1200C。藉由使第一準則遵循第二約束,在第12C圖的圖A中提供佈局圖1200C。藉由使第二準則遵循第二約束,亦在第12B圖的圖B中提供佈局圖1200C。
與第12B圖的圖A相比,在第12C圖的圖A中圖示的佈局圖1200C中,考慮到第二約束,禁區1261-1268在不同位置處佈置。禁區1261-1268的佈置及分佈對應於上文參考第7C圖至第7D圖論述者。由此,利用此種構造,在禁區1261-1268中不形成接觸通孔。
與第12B圖的圖B相比,在第12C圖的圖B中圖示的佈局圖1200C中,考慮到第二約束,禁區1270-1279在不同位置處佈置。禁區1270-1279的佈置及分佈對應於上文參考第7C圖至第7D圖論述者。由此,利用此種構造,在禁區1271-1279中不形成閘極通孔。
第12D圖是根據本揭示內容的一些實施例的第 12A圖中的IC 1200A的佈局圖1200D。藉由使第一準則遵循第一約束,在第12D圖的圖A中提供佈局圖1200D。藉由使第二準則遵循第二約束,亦在第12D圖的圖B中提供佈局圖1200D。
藉由使第一準則遵循第一約束,在第12D圖的圖A中的佈局圖1200D及在第12B圖的圖A中的佈局圖1200B是相同的。藉由使第二準則遵循第二約束,在第12D圖的圖B中的佈局圖1200D及在第12C圖的圖B中的佈局圖1200C是相同的。因此,本文未詳細論述。
現在參考第13A圖。第13A圖是根據本揭示內容的一些實施例的IC 1300A的電路圖。在一些實施例中,IC 1300A用作實施各種邏輯功能的一個單位單元/電路,此些邏輯功能例如包括AND閘極功能、OR閘極功能及反向功能。
為了說明IC 1300A,如由連接I4指示,PMOS電晶體P1的閘極端子耦接到NMOS電晶體N1的閘極端子;如由連接I3指示,PMOS電晶體P2的閘極端子耦接到NMOS電晶體N2的閘極端子;如由連接I1指示,PMOS電晶體P3的閘極端子耦接到NMOS電晶體N3的閘極端子;以及如由連接I2指示,PMOS電晶體P4的閘極端子耦接到NMOS電晶體N4的閘極端子。在一些實施例中,連接I1-I4經指示為輸入端子,用於將對應控制訊號提供到對應PMOS電晶體P1-P4及NMOS電晶體N1-N4。
PMOS電晶體P1的源極/汲極端子耦接到節點A1;在節點A2處PMOS電晶體P1的源極/汲極端子耦接到PMOS電晶體P2的源極/汲極端子;在節點A3處PMOS電晶體P2的源極/汲極端子耦接到PMOS電晶體P3的源極/汲極端子;在節點A4處PMOS電晶體P3的源極/汲極端子耦接到PMOS電晶體P4的源極/汲極端子;以及PMOS電晶體P4的源極/汲極端子耦接節點A5。NMOS電晶體N1的源極/汲極端子耦接到節點B1;NMOS電晶體N1的源極/汲極端子耦接到NMOS電晶體N2的源極/汲極端子;在節點B2處NMOS電晶體N2的源極/汲極端子耦接到NMOS電晶體N3的源極/汲極端子;NMOS電晶體N3的源極/汲極端子耦接到NMOS電晶體N4的源極/汲極端子;以及NMOS電晶體N4的源極/汲極端子耦接到節點B3。節點A1進一步耦接到節點A3及A5。節點A2進一步耦接到參考為VDD的電力軌。如由連接ZN指示,節點A4進一步耦接到節點B2。節點B1及B3進一步耦接到參考為VSS的電力軌。為了實施IC 13A,佈局設計及/或結構的實施例經提供並且在下文如參考第13B圖至第13D圖論述。
為了清楚闡明圖案化為DLFZ及GLFZ的各個禁區,第13B圖至第13D圖中的以下佈局圖1300B-1300D具有分離的圖A及B,用於分別示出圖案DLFZ及GLFZ。此外,為了簡單說明,類似元件在佈局圖1300B-1300D中未重複標記,並且由此省略類似的詳細描述。
第13B圖是根據本揭示內容的一些實施例的第13A圖中的IC 1300A的佈局圖1300B。藉由使第一準則遵循第一約束,在第13B圖的圖A中提供佈局圖1300B。藉由使第二準則遵循第一約束,亦在第13B圖的圖B中提供佈局圖1300B。
如第13B圖的圖A中示出,閘極1311、1312、1313及1314經佈置為第10A圖中的PMOS電晶體P1-P4或NMOS電晶體N1-N4的閘極端子。MD區段1320、1321、1322、1323、1324、1325、1326、1327、1328及1329經佈置為第13A圖中的PMOS電晶體P1-P4或NMOS電晶體N1-N4的源極/汲極端子。
閘極1311及MD區段1321與1322一起對應於PMOS電晶體P1。閘極1312及MD區段1322與1323一起對應於PMOS電晶體P2。閘極1313及MD區段1323與1324一起對應於PMOS電晶體P3。閘極1314及MD區段1324與1325一起對應於PMOS電晶體P4。在此種構造中,PMOS電晶體P1及P2共用MD區段1322,此MD區段1322對應於第13A圖中示出的節點A2處耦接的PMOS電晶體P1及P2。PMOS電晶體P2及P3共用MD區段1323,此MD區段1323對應於第13A圖中示出的節點A3處耦接的PMOS電晶體P2及P3。PMOS電晶體P3及P4共用MD區段1324,此MD區段1324對應於第13A圖中示出的節點A4處耦接的PMOS電晶體P3及P4。
此外,閘極1311及MD區段1326與1327一起對應於NMOS電晶體N1。閘極1312及MD區段1327與1328一起對應於NMOS電晶體N2。閘極1313及MD區段1328與1329一起對應於NMOS電晶體N3。閘極1314及MD區段1329與1320一起對應於NMOS電晶體N4。在此種構造中,NMOS電晶體N2及N3共用MD區段1228,此MD區段1228對應於第13A圖中示出的節點B2處耦接的NMOS電晶體N2及N3。
佈置接觸通孔1331、1332、1333、1334及1335。佈置訊號軌1351、1352、1353、1354、1355、1356及1357。接觸通孔1331將MD區段1321耦接到訊號軌1351。接觸通孔1332將MD區段1323耦接到訊號軌1351。接觸通孔1334將MD區段1325耦接到訊號軌1351。在此種構造中,MD區段1321、1323及1325耦接到相同的訊號軌1351,此訊號軌1351對應於第13A圖中示出的耦接在一起的節點A1、A3及A5。接觸通孔1333將MD區段1324耦接到訊號軌1355,用於發送第一資料訊號(未圖示)。接觸通孔1335將MD區段1328耦接到訊號軌1354,用於發送第一資料訊號。在此種構造中,MD區段1324及1328接收相同的資料訊號,此資料訊號對應於第13A圖中示出的亦指示為連接ZN的耦接在一起的節點A4及B2。
佈置閘極通孔1341、1342、1343及1344。閘極通孔1341將閘極1311耦接到訊號軌1352,此訊號軌 1352對應於如上文關於第13A圖論述的在連接I4之間耦接的PMOS電晶體P1或NMOS電晶體N1的閘極。閘極通孔1342將閘極1312耦接到訊號軌1353,此訊號軌1353對應於如上文關於第13A圖論述的在連接I3之間耦接的PMOS電晶體P2或NMOS電晶體N2的閘極。閘極通孔1343將閘極1313耦接到訊號軌1356,此訊號軌1356對應於如上文關於第13A圖論述的在連接I1之間耦接的PMOS電晶體P3或NMOS電晶體N3的閘極。閘極通孔1344將閘極1314耦接到訊號軌1357,此訊號軌1357對應於如上文關於第13A圖論述的在連接I2之間耦接的PMOS電晶體P4或NMOS電晶體N4的閘極。
背側通孔(未圖示)在佈局圖1300B中示出的相同單元的背側處佈置。背側通孔中的一者將MD區段1322耦接到背側電力軌(未圖示),此電力軌分別對應於如上文關於第13A圖論述的耦接到電力軌VDD的節點A2。一些其他背側通孔(未圖示)將MD區段1326及1320耦接到背側電力軌(未圖示),此電力軌對應於如上文關於第13A圖論述的耦接到電力軌VSS的節點B1及B3。
佈置禁區1361、1362、1363、1364、1365、1366、1367、1368、1369、及1360。禁區1360-1369對應於如上文參考第5圖至第6A圖論述的禁區611-615。禁區1360-1369的佈置及分佈進一步對應於上文參考第7A圖至第7B圖論述者。由此,利用此種構造,在禁區1360-1369中不形成接觸通孔。
與第13B圖的圖A相比,在第13B圖的圖B中圖示的佈局圖1300B中,佈置禁區1371、1372、1373、1374、1375、1376、1377、1378、1379、1370、137a及137b。禁區1370-1379及137a-137b對應於如上文參考第5與及第6B圖論述的禁區631-636。禁區1370-1379及137a-137b的佈置及分佈對應於上文參考第7A圖至第7B圖論述者。由此,利用此種構造,在禁區1370-1379及137a-137b中不形成閘極通孔。
第13C圖是根據本揭示內容的一些實施例的第13A圖中的IC 1300A的佈局圖1300C。藉由使第一準則遵循第二約束,在第13C圖的圖A中提供佈局圖1300C。藉由使第二準則遵循第二約束,亦在第13B圖的圖B中提供佈局圖1300C。
與第13B圖的圖A相比,在第13C圖的圖A中圖示的佈局圖1300C中,改變若干圖案,例如,包括MD區段1320-1329中的一些具有不同大小。例如,參考第13B圖的圖A,與第13B圖的圖A中所示者相比,改變MD區段1323、1324及1325的大小。
此外,接觸通孔1331-1335中的一些放置在不同位置處,並且訊號軌1351-1357中的一些具有替代圖案。例如,參考第13C圖的圖A,在佈局視圖中,接觸通孔1331、1332及1334經佈置為與訊號軌1353重疊。在此種構造中,與參考第13B圖的圖A論述者類似,MD區段1321、1323及1325一起耦接到相同的訊號軌1353, 此訊號軌1353對應於第13A圖中示出的耦接在一起的節點A1、A3及A5。在佈局視圖中,接觸通孔1333經佈置為與訊號軌1352重疊,用於發送第一資料訊號。在此種情況下,接觸通孔1335將MD區段1328耦接到訊號軌1356,用於發送第一資料訊號。利用此種構造,與參考第13B圖的圖A論述者類似,MD區段1324及1328接收相同的資料訊號,此資料訊號對應於第13A圖中示出的連接ZN。
此外,閘極通孔1341-1344中的一些放置在不同位置處。例如,參考第13C圖的圖A,在佈局視圖中,閘極通孔1341經佈置在靠近單元邊界的位置處,並且經佈置為與訊號軌1351重疊。在一些實施例中,單元邊界對應於至少第8圖中圖示的單元邊界CB1。在此種情況下,閘極通孔1341將閘極1311耦接到訊號軌1351,此訊號軌亦對應於如上文關於第13A圖至第13B圖論述的連接I4。
與佈局圖1300B比較,基於各個禁區1360-1369、1370-1379及137a-137b產生在佈局圖1300C中的以上替代。具體地,在第13B圖的圖A中圖示的佈局圖1300B中,藉由使第一準則遵循對第二約束的考慮,禁區1360-1369經佈置在不同位置處。在上文參考第7C圖至第7D圖論述第二約束。在第13B圖的圖B中圖示的佈局圖1300B中,藉由使第二準則遵循對第二約束的考慮,禁區1370-1379及137a-137b經佈置在不 同位置處。由此,利用此種構造,在禁區1360-1369中不形成接觸通孔,並且在禁區1370-1379及137a-137b中不形成閘極通孔。
現在參考第14A圖。第14A圖是根據本揭示內容的一些實施例的IC 1400A的電路圖。在一些實施例中,IC 1400A是第13A圖所示的IC 1300A的替代實施例。IC 1400A的電路圖具有與如第13A圖中示出的IC 1300A類似的構造,並且由此省略類似的詳細描述。
為了說明IC 1400A,標記為「CX」的虛線圓圈是IC 1400A的部分,並且與第13A圖所示的IC 1300A相同。IC 1400A的其他部分包括在IC 1400A中不起作用的PMOS電晶體P5及NMOS電晶體N5。PMOS電晶體P1及NMOS電晶體N5的閘極端子不耦接到其他金屬軌。在一些實施例中,PMOS電晶體P5及NMOS電晶體N5經指示為虛設電晶體。
PMOS電晶體P5的源極/汲極端子耦接到節點A6;在節點A1處PMOS電晶體P5的源極/汲極端子耦接到PMOS電晶體P1的源極/汲極端子。NMOS電晶體N5的源極/汲極端子耦接到節點B4;在節點B1處NMOS電晶體N5的源極/汲極端子耦接到PMOS電晶體N1的源極/汲極端子。節點A6進一步耦接到參考為VDD的電力軌。節點B4進一步耦接到參考為VSS的電力軌。為了實施IC 14A,佈局設計及/或結構的實施例經提供並且在下文如參考第14B圖論述。
第14B圖是根據本揭示內容的一些實施例的第14A圖中的IC 1400A的佈局圖1400B。藉由使第一準則遵循第一約束,在第14B圖中提供佈局圖1400B。藉由使第二準則遵循第一約束,在第14B圖中提供佈局圖1400B。為了簡單說明,圖示圖案化為DLFZ的禁區並且省去圖案化為GLFZ的禁區。在一些實施例中,佈局圖1400B是第13B圖所示的佈局圖1300B的替代實施例。佈局圖1400B具有與如第13B圖中示出的佈局圖1300B類似的構造,並且由此省略類似的詳細描述。
為了說明佈局圖1400B,標記為「CX’」的虛線圓是佈局圖1400B的一部分,並且與第13B圖中的圖A中圖示的佈局圖1300B相同。
與第14B圖的圖A比較,在第14B圖中圖示的佈局圖1400B中,進一步佈置閘極1411作為第14A圖中的PMOS電晶體P5或NMOS電晶體N5的閘極端子。亦佈置了MD區段1421及1423,作為第14A圖中的PMOS電晶體P5或NMOS電晶體N5的源極/汲極端子。
閘極1411及MD區段1421與1322一起對應於PMOS電晶體P5。閘極1411及MD區段1422與1327一起對應於NMOS電晶體N5。
與佈局圖1300B相比,佈局圖1400B中的訊號軌1351-1354伸長。具體地,由於佈置了閘極1411,關於X方向,訊號軌1351-1354中的每一者變長達實質上一個閘極節距P1。利用此種構造,當情況成為另一單元(未 圖示)關於X方向抵靠當前單元時,接觸通孔中的至少一者(例如,接觸通孔1331)與抵靠單元中的其他通孔分離達更多距離。
現在參考第15圖。第15圖是根據本揭示內容的一些實施例的半導體元件的示意性佈局圖1500。在一些實施例中,佈局圖1500是第2圖所示的佈局圖200的替代實施例。在一些其他實施例中,佈局圖1500是第8圖所示的佈局圖800的替代實施例。在各個實施例中,佈局圖1500用於製造第3A圖至第4B圖中的半導體元件300或第17圖至第18C圖中的半導體元件1700。在基於給定佈局圖特徵形成的給定佈局圖特徵之間的對應性,如下文論述,相同參考指示符用在佈局圖及結構描繪中的每一者中。為了簡單說明,在第15圖中僅標記數個元件。關於第8圖的實施例的佈局圖1500,為了易於理解,第15圖中的類似元件用相同元件符號指代。
與第8圖相比,在第15圖中的佈局圖1500中,包括一個雙重高度的單元C21。單元C21在包括CB4及CB5的單元邊界之間界定,並且具有單元高度H4。在單元C21中,主動區A1、A2、A3及A4關於Y方向分離地佈置,並且金屬區段151在金屬一(M1)層中佈置。金屬區段151從主動區A1延伸到主動區A4,並且關於Y方向跨邊界CB’延伸。至少一個通孔(例如,通孔1521、1522及1523)在金屬區段151內側佈置,以形成在M0層中的訊號軌(未標記)與金屬區段151之間接觸的金屬 通孔。此通孔在佈局圖1500B中圖案化為「V0」,並且指示為在M0及M1層之間耦接的通孔。在一些實施例中,M1層是在M0層之上。
在一些實施例中,主動區A1-A4對應於第8圖中示出的主動區A1-A4。在一些其他實施例中,單元邊界CB4-CB5分別對應於第8圖中示出的單元邊界CB1-CB2或CB2-CB3。
在一些實施例中,關於雙重高度單元C21,當滿足至少一個條件時,界定對應於主動區A1及A4的單元邊界CB4-CB5。在一些其他實施例中,第一條件指示M1層中的金屬區段跨主動區A2-A3佈置,此些主動區界定其間的邊界CB’。在一些替代實施例中,第二條件指示金屬區段的長度小於高度H2及H3的總和,此總和亦稱為單元高度H4。在各個實施例中,第三條件指示在金屬區段內側佈置的至少兩個通孔用以在M0層中的至少一個金屬軌與M1層中的金屬區段之間耦接。
為了實施在IC中包括的各個半導體元件,如由下文關於第16A圖至第16C圖論述的非限制性實例示出,使用或修改為使用如上文參考第1圖、第2圖、第5圖、第6A圖至第6B圖、第7A圖至第7D圖及第15圖論述的佈局圖。此些半導體元件對應於參考第3A圖至第4B圖論述的半導體元件300或參考第17圖至第18C圖論述的半導體元件1700。在下文論述的各個實施例中,本揭示內容的半導體元件或IC在使用在第16B圖至第16C圖中描 繪的佈局圖(包括雙重高度單元)時實施,此些佈局圖對應於如所指示的第16A圖中描繪的電路圖。注意到,此些佈局圖僅僅示出對應半導體元件的前側,並且當如上文參考第5圖至第7D圖論述遵循具有各個約束的準則時提供。
現在參考第16A圖。第16A圖是根據本揭示內容的一些實施例的IC 1600A的電路圖。在一些實施例中,IC 1600A用作實施正反器的一個單位單元/電路。
為了說明IC 1600A,提供包括PMOS電晶體P1、P2、P3、P4、P5、P6、P7、P8、P9及P10的多個PMOS電晶體,包括NMOS電晶體N1、N2、N3、N4、N5、N6、N7、N8、N9、N10及N11的多個NMOS電晶體,以及包括反向器INV1、INV2、INV3、INV4、INV5及INV6的反向器。
PMOS電晶體P1用以接收資料訊號SI作為控制訊號;PMOS電晶體P2用以接收資料訊號SEB作為控制訊號;PMOS電晶體P3用以接收資料訊號SE作為控制訊號;PMOS電晶體P4用以接收資料訊號D作為控制訊號。類似地,PMOS電晶體P5用以接收資料訊號CLKBB,並且NMOS電晶體N5用以接收資料訊號SEB。NMOS電晶體N1用以接收資料訊號SI;NMOS電晶體N6用以接收資料訊號SE;NMOS電晶體N3用以接收資料訊號D;以及NMOS電晶體N2用以接收資料訊號SEB。
反向器INV1用以接收資料訊號SE作為輸入訊 號,並且輸出資料訊號SEB;反向器INV2用以接收資料訊號CP作為輸入訊號,並且輸出資料訊號CLKB;以及反向器INV3用以接收資料訊號CLKB作為輸入訊號,並且輸出資料訊號CLKBB。
反向器INV4用以接收資料訊號ml_ax作為輸入訊號,此輸入訊號從PMOS電晶體P5及NMOS電晶體N5發送,並且輸出資料訊號ml_b。PMOS電晶體P7用以接收資料訊號ml_b;PMOS電晶體P6用以接收資料訊號CLKB;NMOS電晶體N11用以接收資料訊號CLKBB;以及NMOS電晶體N7用以接收資料訊號ml_b。
PMOS電晶體P8用以接收資料訊號CLKB,並且NMOS電晶體N8用以接收資料訊號CLKBB。
反向器INV5用以接收資料訊號sl_a作為輸入訊號,此輸入訊號從PMOS電晶體P8及NMOS電晶體N8發送,並且輸出資料訊號sl_bx;以及反向器INV6用以接收資料訊號sl_bx作為輸入訊號,並且輸出資料訊號Q,此資料訊號Q亦經指示為正反器的輸出訊號。
PMOS電晶體P9用以接收資料訊號sl_bx;PMOS電晶體P10用以接收資料訊號CLKBB;NMOS電晶體N9用以接收資料訊號CLKB;並且NMOS電晶體N10用以接收資料訊號sl_bx。
第16B圖至第16C圖是根據本揭示內容的一些實施例的第16A圖中的IC 1600A的佈局圖1600B及1600C。佈局圖1600B及1600C藉由使第一準則遵循第 二約束及使第二準則遵循第一約束來提供。在一些其他實施例中,提供圖1600B及1600C以藉由使第一準則遵循第一或第二約束並且使第二準則遵循第一或第二約束來製造IC 1600A。
如第16B圖中示出,示出在M1層之下的圖案。為了簡單說明,在第16B圖中僅標記數個元件。此外,PMOS電晶體P1-P10、NMOS電晶體N1-N11及反向器INV1-INV4用對應閘極1610-1619及161a-161g指出。資料訊號SEB、SI、D、mx1、mx2、CP、CLKB、CLKBB、ml_ax、ml_b、sl_bx及Q用第16C圖中的對應訊號軌指出。此些訊號軌經圖案化為M0並且用以發送先前提及的資料訊號。
閘極1611經佈置為PMOS電晶體P1及NMOS電晶體N1的閘極端子;閘極1612經佈置為PMOS電晶體P2及NMOS電晶體N2的閘極端子;閘極1613經佈置為PMOS電晶體P4及NMOS電晶體N3的閘極端子;閘極1614經佈置為PMOS電晶體P3及NMOS電晶體N6的閘極端子並且作為反向器INV1的輸入端子;閘極1615經佈置為NMOS電晶體N11的閘極端子;閘極1616經佈置為NMOS電晶體N6的閘極端子;閘極1617經佈置為PMOS電晶體P7及NMOS電晶體N7的閘極端子;並且閘極1618經佈置為反向器INV3的輸入端子。
此外,閘極1619經佈置為反向器INV6的輸入端子;閘極1610經佈置為反向器INV5的輸入端子;閘 極161a經佈置為PMOS電晶體P9及NMOS電晶體N10的閘極端子;閘極161b經佈置為PMOS電晶體P10的閘極端子;閘極161c經佈置為NMOS電晶體N9的閘極端子;閘極161d經佈置為PMOS電晶體P6的閘極端子;閘極161e經佈置為NMOS電晶體N8的閘極端子;閘極161f經佈置為反向器INV4的輸入端子;以及閘極161g經佈置為反向器INV2的輸入端子。
閘極通孔1640-1649及164a-164g經佈置,並且將閘極1610-1619及161a-161g耦接到對應訊號軌(未標記)。
佈置接觸通孔1631、1632及未標記的其他接觸通孔。接觸通孔1631將一個MD區段(未標記)耦接到一個訊號軌(未標記),用於發送資料訊號Q(第16C圖所示),此資料訊號對應於如上文關於第16A圖論述的輸出資料訊號Q的反向器INV6。接觸通孔1632將一個MD區段(未標記)耦接到一個訊號軌1655,用於發送資料訊號CLKBB(第16C圖所示),此資料訊號對應於如上文關於第16A圖論述的輸出資料訊號CLKBB的反向器INV3。
佈置圖案化為DLFZ及GLFZ的禁區。具體地,圖案化為DLFZ的禁區在沒有接觸通孔的情況下佈置,並且對應於上文參考第7C圖至第7D圖論述的禁區。圖案化為GLFZ的禁區在沒有閘極通孔的情況下佈置,並且對應於上文參考第7A圖至第7B圖論述的禁區。
如第16C圖中示出,示出了在M0-M1層中設置的圖案。為了簡單說明,在第16C圖中僅標記數個元件。為了易於理解,亦在佈局圖1600C中示出閘極1610-1619及161a-161g。
金屬區段1691、1692、1693、1694、1695、1696、1697、1698、1699、1690及169a在M1層中佈置。通孔1681、1682、1683、1684、1685及1686以及未標記的其他通孔經佈置且圖案化為「V0」。通孔將M0層中的訊號軌耦接到M1層中的對應金屬區段1690-1691及169a。例如,參考第16C圖,通孔1681將訊號軌1652耦接到金屬區段1691,並且通孔1682將訊號軌1651耦接到金屬區段1691,此金屬區段1691對應於發送資料訊號SEB的訊號軌1651-1652。通孔1683將訊號軌1653耦接到金屬區段1696,並且通孔1684將訊號軌1654耦接到金屬區段1696,此金屬區段1696對應於發送資料訊號CLKB的訊號軌1653-1654。通孔1685將訊號軌1655耦接到金屬區段1698,並且通孔1686將訊號軌1656耦接到金屬區段1698,此金屬區段對應於發送資料訊號CLKBB的訊號軌1655-1656。
現在參考第17圖。第17圖是根據本揭示內容的一些實施例的半導體元件1700的示意性佈局圖。在一些實施例中,半導體元件1700對應於第3A圖至第3B圖中描繪的半導體元件300。關於第3A圖至第3B圖的實施例的半導體元件1700,為了易於理解,第17圖中的類似 元件用相同元件符號標記,並且由此省略類似的詳細描述。為了簡單說明,在第17圖中僅標記數個元件。
為了在第17圖中說明,佈置單元C11。在一些實施例中,單元C11是第3A圖至第3B圖中描繪的單元C11的替代實施例。與第3A圖至第3B圖中描繪的實施例相比,在單元C11中,不佈置背側電力軌或背側通孔。示出半導體元件1700的前側。
半導體元件1700包括閘極1711及1712,MD區段1721、1722、1723及1724,接觸通孔1731、1732及1733,閘極通孔1741及1742,以及金屬軌1751、1752、1753、1754、1755、1756、1757及1758。亦圖示圖案化為DLFZ的一些禁區用於以下說明,並且未圖示圖案化為GLFZ的一些禁區用於簡化說明。
關於Y方向,金屬軌1751-1758具有相同的寬度。在一些實施例中,金屬軌1751-1758包括電力軌1751及1757,以及訊號軌1752-1756及1758。在一些其他實施例中,電力軌1751及1757用以發送電力訊號。例如,參考第17圖,電力軌1751用以接收電力電壓訊號VDD並且將電力電壓訊號VDD耦接到對應電晶體。電力軌1757用以接收參考電壓訊號VSS並且將參考電壓訊號VSS耦接到對應電晶體。在一些替代實施例中,訊號軌1752-1756及1758用以發送資料訊號,並且用以將資料訊號耦接到對應電晶體。
現在參考第18A圖至第18C圖。第18A圖至第 18C圖是根據本揭示內容的一些實施例的第17圖所示的半導體元件1700的橫截面圖。第18A圖是沿著第17圖的線A-A’的橫截面圖。第18B圖是沿著第17圖的線B-B’的橫截面圖。第18C圖是沿著第17圖的線C-C’的橫截面圖。為了易於理解,關於第18A圖的實施例參考第18B圖至第18C圖論述,並且僅示出與第17圖所示的對應結構相關聯的一些結構作為示例性實施例。關於第17圖的實施例的半導體元件1700,為了易於理解,第18A圖至第18C圖中的類似元件用相同元件符號指代。
如第18A圖中示出,MD區段1721及1723分別設置在磊晶結構1821及1822上,並且矽化物層1811及1812分別設置在其間上方。隔離結構1831在MD區段1721及1723之間、在磊晶結構1821及1822之間,及在矽化物層1811及1812之間形成,並且介電結構1841填充在其間。
層間介電(ILD)層1851設置在MD區段1721與1723及介電結構1841之上。介電結構1861填充在電力軌1751及1757與訊號軌1752-1756之間,並且在一些實施例中亦指示為M0層。接觸通孔1731在ILD層1851中設置,並且接觸MD區段1721及電力軌1751兩者。背側ILD層1871在磊晶結構1821與1822、隔離結構1831及介電結構1841之下設置。
如第18B圖中示出,MD區段1722及1724分別設置在磊晶結構1823及1824上,並且矽化物層1813 及1814分別設置在其間上方。隔離結構1832在MD區段1722及1724之間、在磊晶結構1823及1824之間、及在矽化物層1813及1814之間形成,並且介電結構1841填充在其間。
ILD層1851在MD區段1722與1724及介電結構1841之上設置。介電結構1861填充在電力軌1751與1757及訊號軌1752-1753與1755之間。接觸通孔1732設置在ILD層1851中,並且接觸MD區段1722及訊號軌1752兩者。接觸通孔1733設置在ILD層1851中,並且接觸MD區段1724及訊號軌1755兩者。背側ILD層1871在磊晶結構1823與1824、隔離結構1832、及介電結構1841之下設置。
如第18C圖中示出,間隔件1881及1882分別在閘極1711及1712的相對側壁上設置。介電結構1841填充在閘極1711-1712與間隔件1881-1882之間。閘極通孔1741-1742設置在ILD層1851中,並且分別接觸閘極1711及訊號軌1754兩者以及閘極1712及訊號軌1758兩者。
在一些實施例中,矽化物層1811-1814對應於第4A圖所示的矽化物層411-412。在一些實施例中,磊晶結構1821-1824對應於第4A圖至第4B圖所示的磊晶結構421-422。在一些實施例中,隔離結構1831-1832對應於第4A圖所示的隔離結構431。在一些實施例中,介電結構1841對應於第4A圖至第4B圖所示的介電結構 441。在一些實施例中,ILD層1851對應於第4A圖至第4B圖所示的ILD層451。在一些實施例中,介電結構1861對應於第4A圖所示的介電結構461。在一些實施例中,背側ILD層1871對應於第4A圖至第4B圖所示的背側ILD層471。在一些實施例中,間隔件1881-1882對應於第4B圖所示的間隔件481。
現在參考第19圖。第19圖是根據本揭示內容的一些實施例的用於製造IC的方法1900的流程圖。在一些實施例中,IC包括至少一個半導體元件,例如包括半導體元件300或1700。在一些其他實施例中,IC基於至少一個佈局圖製造,佈局圖包括例如上文關於第2圖至第16C圖論述的佈局圖200、500、600A-600B、700A-700D、800、900B-900D、1000B-1000D、1100B-1200D、1300B-1300D、1400B、1500、或1600B-1600C。在說明第19圖中的方法1900之後,參考第3A圖至第4B圖所示的半導體元件300或其第6A圖至第6B圖中的佈局圖600A-600B包括示例性操作。然而,第19圖中的操作不一定以所示的次序執行。換言之,根據本揭示內容的各個實施例的精神及範疇,可適當地添加、替代、交換次序、及/或消除操作。
於操作1910,閘極及導電區段跨第一主動區及第二主動區或在第一主動區及第二主動區之上形成。將第一主動區包括在對應於第一電路的第一單元中。將第二主動區包括在對應於第二電路並且抵靠第一單元的第二單元中。 為了說明,如第3A圖所示,閘極311-313跨單元C11及C01中的圖案化為AA的主動區形成,並且MD區段321及323在此些主動區之上形成。單元C11對應於一個電路,並且抵靠單元C11的單元C01對應於另一電路。
於操作1920,在佈局視圖中,在抵靠第二主動區中的第一禁區的第一區域內,第一導電通孔在第一單元中形成。為了說明,如第6A圖所示,在抵靠單元C11的另一單元(未圖示)的主動區(未圖示)中,在抵靠禁區611的區域內,接觸通孔532在單元C11中設置。抵靠單元C11的單元在後文指示為抵靠單元。在另一實例中,參考第6B圖,在另一抵靠單元的主動區中抵靠禁區635的區域內,閘極通孔341在單元C11中設置。
於操作1930,在佈局視圖中,在第一主動區中抵靠第二禁區的第二區段內,第二導電通孔在第二單元中形成。為了說明,如第6A圖所示,接觸通孔(未圖示)在區域621內的抵靠單元中設置,區域621抵靠單元C11的主動區中的禁區612。在另一實例中,參考第6B圖,閘極通孔(未圖示)在區域624內的另一抵靠單元中設置,區域642抵靠單元C11的主動區中的禁區634。
於操作1940,訊號軌在第一主動區及第二主動區之上形成。為了說明,如第3A圖所示,訊號軌351-352在主動區之上設置的M0層中形成。亦為了說明,如第6A圖至第6B圖所示,設置了訊號軌351-352。
在一些實施例中,在操作1920中形成的第一導電 通孔將訊號軌中的一者耦接到閘極中的一者或在操作1910中形成的導電區段。為了說明,如第6A圖所示,接觸通孔532將訊號軌355耦接到一個MD區段(其未標記並且在第3A圖中圖示)。在另一實例中,參考第6B圖,閘極通孔341將訊號軌352耦接到閘極311。
在一些實施例中,在操作1920中形成的第二導電通孔將訊號軌中的一者耦接到閘極中的一者或在操作1910中形成的導電區段。為了說明,如第3A圖所示,在抵靠單元C01中,閘極通孔331將訊號軌353耦接到MD區段322。
在一些實施例中,構造其中不設置導電通孔的第一禁區,並且構造其中不設置導電通孔的第二禁區。為了說明,如第6A圖所示,當接觸通孔532如說明設置時,禁區611中不設置接觸通孔。當接觸通孔在區域621中設置時,禁區612中不設置接觸通孔。為了另一說明,如第6B圖所示,當閘極通孔341如說明設置時,禁區635中不設置閘極通孔。當閘極通孔在區域642中設置時,禁區634中不設置閘極通孔。
現在參考第20圖。第20圖是根據本揭示內容的一些實施例的用於設計積體電路佈局設計的電子設計自動化(electronic design automation,EDA)系統2000的方塊圖。EDA系統2000用以實施第19圖中揭示的方法1900的一個或多個操作,並且結合第3A圖至第7D圖進一步解釋。在一些實施例中,EDA系統2000包括APR 系統。
在一些實施例中,EDA系統2000是包括硬體處理器2020及非暫時性電腦可讀取儲存媒體2060的通用計算元件。儲存媒體(即,記憶體)2060尤其是用電腦程式碼(指令)2061(亦即,可執行指令集)編碼(亦即,儲存)。藉由硬體處理器2020執行指令2061(至少部分)表示EDA工具,此EDA工具實施例如方法1900的一部分或全部。
處理器2020經由匯流排2050電氣耦接到電腦可讀取儲存媒體2060。處理器2020亦藉由匯流排2050電氣耦接到輸入/輸出(I/O)介面2010及製造工具2070。網路介面2030亦經由匯流排2050電氣連接到處理器2020。網路介面2030連接到網路2040,使得處理器2020及電腦可讀取儲存媒體2060能夠經由網路2040連接到外部元件。處理器2020用以執行在電腦可讀取儲存媒體2060中編碼的電腦程式碼2061,以便導致EDA系統2000可用於執行所提及的製程及/或方法的一部分或全部。在一個或多個實施例中,處理器2020是中央處理單元(CPU)、多處理器、分散式處理系統、特殊應用積體電路(ASIC)、及/或適宜的處理單元。
在一個或多個實施例中,電腦可讀取儲存媒體2060是電子、磁性、光學、電磁、紅外、及/或半導體系統(或者設備或元件)。例如,電腦可讀取儲存媒體2060包括半導體或固態記憶體、磁帶、可移除電腦磁片、隨機 存取記憶體(RAM)、唯讀記憶體(ROM)、剛性磁碟、及/或光碟。在使用光碟的一個或多個實施例中,電腦可讀取儲存媒體2060包括壓縮磁碟-唯讀記憶體(CD-ROM)、壓縮磁碟-讀/寫(CD-R/W)、及/或數位視訊光碟(DVD)。
在一個或多個實施例中,儲存媒體2060儲存電腦程式碼2061,此電腦程式碼用以導致EDA系統2000(其中此執行(至少部分)表示EDA工具)可用於執行所提及的製程及/或方法的一部分或全部。在一個或多個實施例中,儲存媒體2060亦儲存促進執行所提及的製程及/或方法的一部分或全部的資訊。在一個或多個實施例中,儲存媒體2060儲存包括如本文揭示的此種標準單元(例如,上文關於第2圖至第8圖及第15圖論述的單元C01、C11、C12及C21)的標準單元的程式庫2062。
EDA系統2000包括I/O介面2010。I/O介面2010耦接到外部電路系統。在一個或多個實施例中,I/O介面2010包括用於將資訊及命令通訊到處理器2020的鍵盤、小鍵盤、滑鼠、軌跡球、軌跡板、觸控式螢幕、及/或遊標方向鍵。
EDA系統2000亦包括耦接到處理器2020的網路介面2030。網路介面2030允許EDA系統2000與網路2040通訊,其中一個或多個其他電腦系統連接到此網路。網路介面2030包括:無線網路介面,諸如BLUETOOTH、WIFI、WIMAX、GPRS、或WCDMA; 或有線網路介面,諸如ETHERNET、USB、或IEEE-1364。在一個或多個實施例中,在兩個或多個系統2000中實施所提及的製程及/或方法的一部分或全部。
EDA系統2000亦包括耦接到處理器2020的製造工具2070。製造工具2070用以基於由處理器2020處理的設計檔案及/或如上文論述的IC佈局設計來製造半導體元件(例如,包括第3A圖至第4B圖中的半導體元件300及第17圖至第18C圖中的半導體元件1700)及包括半導體元件的積體電路。
EDA系統2000用以經由I/O介面2010接收資訊。經由I/O介面2010接收的資訊包括下列中的一或多者:指令、資料、設計規則、標準單元程式庫、及/或用於由處理器2020處理的其他參數。將資訊經由匯流排2050傳遞到處理器2020。EDA系統2000用以經由I/O介面2010接收關於UI的資訊。資訊在電腦可讀取媒體2060中儲存為使用者介面(UI)2063。
在一些實施例中,將所提及的製程及/或方法的一部分或全部實施為由處理器執行的獨立式軟體應用。在一些實施例中,將所提及的製程及/或方法的一部分或全部實施為軟體應用,此軟體應用是額外軟體應用的一部分。在一些實施例中,將所提及的製程及/或方法的一部分或全部實施為到軟體應用的外掛程式。在一些實施例中,將所提及的製程及/或方法中的至少一者實施為軟體應用,此軟體應用為EDA工具的一部分。在一些實施例中,將所提及的 製程及/或方法的一部分或全部實施為軟體應用,此軟體應用由EDA系統2000使用。在一些實施例中,包括標準單元的佈局圖使用諸如獲自CADENCE DESIGN SYSTEMS,Inc.的VIRTUOSO®的工具或另一適宜佈局產生工具來產生。
在一些實施例中,將製程實現為隨著在非暫時性電腦可讀取記錄媒體中儲存的程式變化。非暫時性電腦可讀取記錄媒體的實例包括但不限於外部/可移除及/或內部/內置儲存或記憶體單元,例如,下列中的一或多者:光碟(諸如DVD)、磁碟(諸如硬碟)、半導體記憶體(諸如ROM、RAM、記憶卡)、及類似者。
第21圖是根據一些實施例的IC製造系統2100及與其相關聯的IC製造流程的方塊圖。在一些實施例中,基於佈局圖,使用IC製造系統2100製造下列中的至少一者:(A)一個或多個半導體光罩或(B)在半導體積體電路層中的至少一個部件。
在第21圖中,IC製造系統2100包括實體,諸如設計室2110、光罩室2120、及IC製造商/生產商(「fab」)2130,此些實體在關於製造IC元件2140的設計、開發、及製造週期及/或服務中彼此交互。在IC製造系統2100中的實體藉由通訊網路連接。在一些實施例中,通訊網路是單個網路。在一些實施例中,通訊網路是各種不同的網路,諸如網內網路及網際網路。通訊網路包括有線及/或無線通訊通道。每個實體與其他實體中的一 或多者交互,並且將服務提供到其他實體中的一或多者及/或從其他實體中的一或多者接收服務。在一些實施例中,設計室2110、光罩室2120、及IC fab 2130中的兩個或多個由單個較大的公司擁有。在一些實施例中,設計室2110、光罩室2120、及IC fab 2130中的兩個或多個在共用設施中共存並且使用共用資源。
設計室(或設計團隊)2110產生IC設計佈局圖2111。IC設計佈局圖2111包括各種幾何圖案,例如,針對IC元件2140(例如,上文關於第3A圖至第4B圖及/或第17圖至第18C圖論述的半導體元件300及1700)設計的在第2圖、第5圖、第6A圖至第6B圖、第7A圖至第7D圖、第8圖、第9B圖至第9D圖、第10B圖至第10D圖、第11B圖至第11D圖、第12B圖至第12D圖、第13B圖至第13D圖、第14B圖、第15圖、及/或第16B圖至第16C圖中描繪的IC佈局設計。幾何圖案對應於構成待製造的IC元件2140的各個部件的金屬、氧化物、或半導體層的圖案。各個層結合以形成各種IC特徵。例如,IC設計佈局圖2111的一部分包括待在半導體基板(諸如矽晶圓)及在半導體基板上設置的各種材料層中形成的各種IC特徵,諸如主動區、閘電極、源極及汲極、導電區段或層間互連的通孔。設計室2110實施適當設計程序以形成IC設計佈局圖2111。設計程序包括下列中的一或多者:邏輯設計、實體設計或放置及路由。IC設計佈局圖2111存在於具有幾何圖案的資訊的一個或多個資料檔 案中。例如,IC設計佈局圖2111可以GDSII檔案格式或DFII檔案格式表達。
光罩室2120包括資料準備2121及光罩製造2121。光罩室2120使用IC設計佈局圖2111,以製造一個或多個光罩2123,此些光罩將用於根據IC設計佈局圖2111製造IC元件2140的各個層。光罩室2120執行光罩資料準備2121,其中IC設計佈局圖2111轉換為代表性資料檔案(「RDF」)。光罩資料準備2121向光罩製造2122提供RDF。光罩製造2122包括光罩寫入器。光罩寫入器將RDF轉換為基板上的影像,基板諸如光罩(mask)(主光罩(reticle))2123或半導體晶圓2133。IC設計佈局圖2111由光罩資料準備2121操控,以符合光罩寫入器的特定特性及/或IC fab 2130的需求。在第21圖中,將資料準備2121及光罩製造2122示出為分離的元件。在一些實施例中,資料準備2121及光罩製造2122可以統稱為光罩資料準備。
在一些實施例中,資料準備2121包括光學鄰近修正(OPC),此OPC使用微影增強技術來補償影像誤差,諸如可以由繞射、干涉、其他製程影響及類似者產生的彼等。OPC調節IC設計佈局圖2111。在一些實施例中,資料準備2121包括進一步的解析度增強技術(RET),諸如偏軸照明、次解析度輔助特徵、相移光罩、其他適宜技術、及類似者或其組合。在一些實施例中,亦使用反向微影技術(ILT),其將OPC視作反向成像問題。
在一些實施例中,資料準備2121包括光罩規則檢驗器(MRC),此光罩規則檢驗器用一組光罩產生規則檢驗已經歷OPC中的製程的IC設計佈局圖2111,此些光罩產生規則含有某些幾何形狀及/或連接性限制以確保足夠裕度,用於考慮在半導體製造製程中的變化性及類似者。在一些實施例中,MRC修改IC設計佈局圖2111以在光罩製造2122期間補償限制,這可撤銷由OPC執行的部分修改,以便滿足光罩產生規則。
在一些實施例中,資料準備2121包括模擬處理的微影製程檢驗(LPC),此處理將由IC fab 2130實施以製造IC元件2140。LPC基於IC設計佈局圖2111模擬此處理以產生模擬的製造元件,諸如IC元件2140。在LPC模擬中的處理參數可以包括與IC製造週期的各個製程相關聯的參數、與用於製造IC的工具相關聯的參數、及/或製造製程的其他態樣。LPC考慮到各種因素,諸如天線影像對比、焦點深度(「DOF」)、光罩誤差增強因素(「MEEF」)、其他適宜因素、及類似者或其組合。在一些實施例中,在模擬的製造元件已經由LPC產生之後,若模擬的元件形狀不足夠緊密以滿足設計規則,則OPC及/或MRC將重複以進一步細化IC設計佈局圖2111。
應當理解,出於清晰目的已經簡化資料準備2121的以上描述。在一些實施例中,資料準備2121包括額外特徵,諸如邏輯操作(LOP)以根據製造規則修改IC設計佈局圖2111。此外,可以各種不同次序執行在資料準備 2121期間應用到IC設計佈局圖2111的製程。
在資料準備2121之後並且在光罩製造2122期間,基於經修改的IC設計佈局圖2111製造光罩2123或光罩2123的群組。在一些實施例中,光罩製造2122包括基於IC設計佈局圖2111執行一或多次微影曝光。在一些實施例中,電子束(e束)或多個電子束的機制用於基於經修改的IC設計佈局圖2111在光罩(光罩或主光罩)2123上形成圖案。光罩2123可以在各種技術中形成。在一些實施例中,光罩2123使用二元技術形成。在一些實施例中,光罩圖案包括不透明區域及透明區域。用於暴露已經在晶圓上塗佈的影像敏感材料層(例如,光阻劑)的輻射光束(諸如紫外(UV)光束)由不透明區域阻擋並且發射透過透明區域。在一個實例中,光罩2123的二元光罩版本包括透明基板(例如,熔凝石英)及在二元光罩的不透明區域中塗佈的不透明材料(例如,鉻)。在另一實例中,光罩2123使用相移技術形成。在光罩2123的相移光罩(PSM)版本中,在相移光罩上形成的圖案中的各種特徵用以具有適當相位差,以增強解析度及成像品質。在各個實例中,相移光罩可以是衰減PSM或交替PSM。在各種製程中使用由光罩製造2122產生的光罩。例如,此種光罩在離子佈植製程中使用以在半導體晶圓2133中形成各種摻雜區域、在蝕刻製程中使用以在半導體晶圓2133中形成各種蝕刻區域、及/或在其他適宜製程中使用。
IC fab 2130包括晶圓製造2132。IC fab 2130 是包括用於製造各種不同的IC產品的一個或多個製造設施的IC製造公司。在一些實施例中,IC Fab 2130是半導體代工廠。例如,可存在用於複數種IC產品的前端製造(線程前端(FEOL)製造)的製造設施,而第二製造設施可提供用於互連及封裝IC產品的後端製造(線程後端(BEOL)製造),並且第三製造設施可為代工廠公司提供其他服務。
IC fab 2130使用由光罩室2120製造的光罩2123來製造IC元件2140。因此,IC fab 2130至少間接地使用IC設計佈局圖2111來製造IC元件2140。在一些實施例中,半導體晶圓2133藉由IC fab 2130使用光罩2123製造以形成IC元件2140。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖2111執行一或多次微影曝光。半導體晶圓2133包括矽基板或其上形成有材料層的其他適當基板。半導體晶圓2133進一步包括下列中的一或多者:各種摻雜區域、介電特徵、多級互連、及類似者(在後續的製造步驟處形成)。
此外,在先前提及的實施例中用於實施電晶體的各個電路或元件是在本揭示內容的一些實施例的預期範疇內。在本文獻的一些實施例中,至少一個電晶體實施有至少一個MOS電晶體、至少一個雙極性介面電晶體(BJT)等等、或其組合。在先前提及的實施例中用於實施電晶體的各個電路或元件是在本揭示內容的一些實施例的預期範疇內。
在一些實施例中,半導體元件經揭露並且包括單元。 單元包括主動區、複數個閘極、至少一個閘極通孔及至少一個接觸通孔。主動區包括複數個禁區。複數個閘極跨主動區設置。至少一個閘極通孔與複數個閘極中的一者耦接。至少一個接觸通孔與至少一個導電區段耦接,各個導電區段對應於電晶體的源極/汲極。在佈局視圖中,複數個禁區中的一者抵靠抵靠單元的區域,此區域中設置抵靠單元的閘極通孔或接觸通孔中的至少一者。在佈局視圖中,至少一個閘極通孔或至少一個接觸通孔中的至少一者佈置在主動區內並且在複數個禁區外側。
在一些實施例中,複數個閘極中的相鄰兩個閘極其間佈置有複數個禁區中的彼此分離的至少兩個禁區。
在一些實施例中,複數個閘極中的每相鄰兩個閘極其間佈置有複數個禁區中的一個禁區。
在一些實施例中,複數個閘極中的相鄰兩個閘極其間佈置有複數個禁區中的第一禁區。在佈局視圖中,第一禁區具有近似等於在相鄰兩個閘極之間的第一距離的長度,並且具有在近似兩個導電軌之間的第二距離到近似第二距離的兩倍的範圍中的寬度,此些導電軌各者佈置在複數個閘極中的至少一者之上並且跨複數個閘極中的至少一者。
在一些實施例中,至少一個接觸通孔包括第一接觸通孔及第二接觸通孔,並且在佈局視圖中。第一接觸通孔藉由複數個禁區中的第一禁區與第二接觸通孔間隔開。第一接觸通孔藉由抵靠單元中的禁區與抵靠單元的接觸通孔間隔開。
在一些實施例中,第一接觸通孔及第二接觸通孔其間彼此間隔開達一距離,此距離近似為在複數個閘極中的相鄰兩個閘極之間的距離的兩倍。第一接觸通孔與抵靠單元的第三接觸通孔間隔開達一距離,此距離近似為在兩個導電軌之間的距離的兩倍,此些導電軌各者佈置在複數個閘極中的至少一者之上並且跨複數個閘極中的至少一者。
在一些實施例中,半導體元件進一步包括至少一個導電區段。在橫截面圖中,至少一個導電區段在單元的背側處設置,背側與其中設置複數個閘極的單元的前側相對。至少一個導電區段用以接收電力訊號。
本揭示內容之一些實施例亦揭示了一種製造半導體元件的方法,包括以下操作:在對應於第一電路的第一單元的第一主動區之上,並且在對應於第二電路且抵靠第一單元的第二單元的第二主動區之上,形成複數個閘極及複數個導電區段;在第一主動區及第二主動區之上形成複數個訊號軌,其中複數個訊號軌包括第一訊號軌及第二訊號軌;以及在佈局視圖中,在第二主動區中抵靠禁區的第一禁區的第一區域內,在第一單元中形成第一導電通孔,並且在第一主動區中抵靠禁區的第二禁區的第二區域內,在第二單元中形成第二導電通孔。第一導電通孔及第二導電通孔分別將第一訊號軌及第二訊號軌耦接到複數個閘極中的對應閘極或複數個導電區段中的對應導電區段。第一禁區配置成不設置對應於第一導電通孔的導電通孔,並且第二禁區配置成不設置對應於第二導電通孔的導電通孔。
在一些實施例中,形成第一導電通孔的操作進一步包括以下操作:在佈局視圖中,當第二導電通孔在第二區域中設置時,在除了第二禁區之外的第一主動區中的分離區域中設置第一導電通孔,以在第一區域中選擇性形成第一導電通孔。
在一些實施例中,在佈局視圖中,在第一主動區中,禁區及分離區域中的每一者抵靠第二主動區並且具有,在複數個閘極中的相鄰兩個閘極之間隔開的寬度。在佈局視圖中,在第一主動區中,禁區在分離區域中的每相鄰兩個分離區域之間設置,而其內不形成第一導電通孔、第二導電通孔或第三導電通孔中的至少一者。
在一些實施例中,在佈局視圖中,當第一導電通孔及第二導電通孔接觸閘極時,禁區在複數個閘極中的相鄰兩個閘極之間佈置。在佈局視圖中,當第一導電通孔及第二導電通孔接觸導電區段時,禁區經佈置為與複數個閘極部分重疊。
在一些實施例中,第一節距在複數個訊號軌中的相鄰兩個訊號軌之間隔開。第二節距在複數個閘極中的相鄰兩個閘極之間隔開。在第一導電通孔與第二導電通孔之間的距離近似等於第一節距的平方與第二節距的平方的總和的平方根。
在一些實施例中,方法進一步包括以下操作:形成第三導電通孔以將第一訊號軌耦接到閘極或導電區段。在佈局視圖中,在第二主動區中抵靠禁區之一的第三區域內, 第三導電通孔在第一主動區中設置。在佈局視圖中,第三導電通孔藉由至少第二禁區與第一導電通孔分離。在佈局視圖中,在第一導電通孔與第二導電通孔之間的距離近似等於在第三導電通孔與第二導電通孔之間的距離。
在一些實施例中,在佈局視圖中,第一訊號軌在第二訊號軌旁邊設置,並且第一單元及第二單元的單元邊界位於其間。複數個訊號軌具有相同的寬度。
在一些實施例中,方法進一步包括以下操作:在第一單元及第二單元的背側之上形成複數個電力軌。背側在第一主動區及第二主動區之下。複數個閘極或複數個導電區段中的至少一者經由至少一個背側通孔耦接到複數個電力軌。
本揭示內容之一些實施例亦揭示了一種製造半導體元件的方法,包括以下操作:產生積體電路(IC)的第一電路。產生第一電路的操作進一步包括以下操作:在抵靠第二單元的第一單元中,在包含複數個禁區的主動區之上形成複數個閘極及複數個導電區段;以及在佈局視圖中,當至少一個第一導電通孔在抵靠複數個禁區的第二單元的至少一個區域中設置時,在主動區中並且在複數個禁區外側設置至少一個第二導電通孔,以形成接觸複數個閘極或複數個導電區段中的一者的至少一個第二導電通孔。
在一些實施例中,產生第一電路的操作進一步包括以下操作:在主動區之上形成複數個軌。複數個軌在佈局視圖中跨複數個閘極設置,並且經由至少一個第二導電通 孔耦接到複數個閘極或複數個導電區段,用於發送資料訊號或電力訊號。複數個軌具有相同的寬度。
在一些實施例中,在佈局視圖中,在複數個閘極中的相鄰兩個閘極之間的區域內,複數個軌中的至少一者不與複數個禁區重疊,並且複數個軌中的至少兩者與複數個禁區部分重疊,或在複數個閘極中的每相鄰兩個閘極之間的區域內,複數個軌中的至少一者與複數個禁區部分重疊。
在一些實施例中,方法進一步包括以下操作:在主動區之上形成複數個訊號軌,以經由至少一個第二導電通孔將資料訊號耦接到複數個閘極或複數個導電區段;以及在第一單元及第二單元的背側之上形成複數個電力軌。背側在主動區之下,以經由背側通孔將電力訊號耦接到複數個閘極或複數個導電區段。
在一些實施例中,第一節距在複數個訊號軌中的相鄰兩個軌之間隔開。第二節距在複數個閘極中的相鄰兩個閘極之間隔開。至少一個第二導電通孔與至少一個第一導電通孔間隔開達一距離,此距離近似等於第一節距的平方與第二節距的平方的總和的平方根。
上文概述若干實施例的特徵,使得熟習此項技術者可更好地理解本揭示內容的一些實施例的態樣。熟習此項技術者應瞭解,可輕易使用本揭示內容的一些實施例作為設計或修改其他製程及結構的基礎,以便執行本文所介紹的實施例的相同目的及/或實現相同優點。熟習此項技術者 亦應認識到,此類等效構造並未脫離本揭示內容的一些實施例的精神及範疇,且可在不脫離本揭示內容的一些實施例的精神及範疇的情況下產生本文的各種改變、取代及更改。
311:閘極
312:閘極
314:閘極
315:閘極
316:閘極
341:閘極通孔
351:訊號軌
352:訊號軌
355:訊號軌
356:訊號軌
500:佈局圖
531:接觸通孔
532:接觸通孔
533:接觸通孔
534:接觸通孔
C11:單元
CB1:單元邊界
CB2:單元邊界
R[0]:列
R[1]:列
R[2]:列
X:方向
Y:方向

Claims (10)

  1. 一種半導體元件,包含:一單元,包含:一主動區,包括複數個禁區;複數個閘極,跨該主動區設置;至少一個閘極通孔,與該些閘極中的一者耦接;以及至少一個接觸通孔,與至少一個導電區段耦接,該至少一個導電區段對應於一電晶體的一源極/汲極,其中在一佈局視圖中,該些禁區中的一者抵靠一抵靠單元的一區域,該區域中設置該抵靠單元的一閘極通孔或一接觸通孔中的至少一者,並且該至少一個閘極通孔或該至少一個接觸通孔中的至少一者在該主動區內並且在該些禁區外側佈置。
  2. 如請求項1所述的半導體元件,其中:該些閘極中的相鄰兩個閘極其間佈置有該些禁區中的彼此分離的至少兩個禁區,或者該些閘極中的每相鄰兩個閘極其間佈置有該些禁區中的一個禁區。
  3. 如請求項1所述的半導體元件,其中該些閘極中的相鄰兩個閘極其間佈置有該些禁區中的一第一禁區,並且在一佈局視圖中,該第一禁區具有近似等於在該些相鄰 兩個閘極之間的一第一距離的一長度,並且具有在從近似在兩個導電軌之間的一第二距離至近似該第二距離的兩倍的一範圍中的一寬度,該些導電軌各者在該些閘極中的至少一者之上且跨該些閘極中的至少一者佈置。
  4. 如請求項1所述的半導體元件,其中該至少一個接觸通孔包含一第一接觸通孔及一第二接觸通孔,並且在一佈局視圖中,該第一接觸通孔藉由該些禁區中的一第一禁區與該第二接觸通孔間隔開,並且該第一接觸通孔藉由該抵靠單元中的一禁區與該抵靠單元的一接觸通孔間隔開,其中:該第一接觸通孔及該第二接觸通孔其間彼此間隔開達一距離,該距離近似為在該些閘極中的相鄰兩個閘極之間的一距離的兩倍,並且該第一接觸通孔與該抵靠單元的一第三接觸通孔間隔開達一距離,該距離近似為在兩個導電軌之間的一距離的兩倍,該些導電軌各者在該些閘極中的至少一者之上且跨該些閘極中的至少一者佈置。
  5. 如請求項1所述的半導體元件,進一步包含:在該單元的一背側處設置的至少一個導電區段,在一橫截面圖中,該背側與其中設置該些閘極的該單元的一前側 相對,其中該至少一個導電區段用以接收一電力訊號。
  6. 一種製造半導體元件的方法,包含以下的操作:在對應於一第一電路的一第一單元的一第一主動區之上,並且在對應於一第二電路且抵靠該第一單元的一第二單元的一第二主動區之上,形成複數個閘極及複數個導電區段;在該第一主動區及該第二主動區之上,形成複數個訊號軌,其中該些訊號軌包含一第一訊號軌及一第二訊號軌;以及在一佈局視圖中,在該第二主動區中抵靠多個禁區中的一第一禁區的一第一區域內,在該第一單元中形成一第一導電通孔,並且在該第一主動區中抵靠多個禁區中的一第二禁區的一第二區域內,在該第二單元中形成一第二導電通孔,其中:該第一導電通孔及該第二導電通孔分別將該第一訊號軌及該第二訊號軌耦接到該些閘極中對應的閘極或該些導電區段中對應的導電區段,並且該第一禁區配置成不設置對應於該第一導電通孔的導電通孔,並且該第二禁區配置成不設置對應於該第二導電通孔的導電通孔。
  7. 如請求項6所述的製造半導體元件的方法,其中形成該第一導電通孔進一步包含:在一佈局視圖中,當該第二導電通孔在該第二區域中設置時,將該第一導電通孔設置在除了該第二禁區的該第一主動區中的多個分離區域中,以在該第一區域中選擇性形成該第一導電通孔,其中在一佈局視圖中,在該第一主動區中,該些禁區及該些分離區域中的每一者抵靠該第二主動區並且具有在該些閘極中的相鄰兩個閘極之間隔開的一寬度,並且該些禁區在該些分離區域中的每相鄰兩個分離區域之間設置,而其內不形成該第一導電通孔、該第二導電通孔或第三導電通孔中的至少一者。
  8. 如請求項6所述的製造半導體元件的方法,進一步包含:形成一第三導電通孔,以將該第一訊號軌耦接到該些閘極或該些導電區段,其中在一佈局視圖中,在該第二主動區中抵靠多個禁區中的一者的一第三區域內,該第三導電通孔在該第一主動區中設置,該第三導電通孔藉由至少該第二禁區與該第一導電通孔分離,並且在該第一導電通孔與該第二導電通孔之間的一距離近似 等於在該第三導電通孔與該第二導電通孔之間的一距離。
  9. 一種製造半導體元件的方法,包含以下的操作:產生一積體電路的一第一電路,包含以下的操作:在抵靠一第二單元的一第一單元中,在包含複數個禁區的一主動區之上,形成複數個閘極及複數個導電區段;以及在一佈局視圖中,當至少一個第一導電通孔在抵靠該些禁區的該第二單元的至少一個區域中設置時,將至少一個第二導電通孔設置在該主動區中且在該些禁區外側,以形成接觸該些閘極或該些導電區段中的一者的該至少一個第二導電通孔。
  10. 如請求項9所述的製造半導體元件的方法,進一步包含以下操作:在該主動區之上形成複數個訊號軌,以經由該至少一個第二導電通孔將資料訊號耦接到該些閘極或該些導電區段;以及在該第一單元及該第二單元的一背側之上形成複數個電力軌,其中在一橫截面圖中該背側在該主動區之下,以經由背側通孔將電力訊號耦接到該些閘極或該些導電區段,其中:一第一節距在該些訊號軌中的相鄰兩個軌之間隔開, 一第二節距在該些閘極中的相鄰兩個閘極之間隔開,並且該至少一個第二導電通孔與該至少一個第一導電通孔間隔開達一距離,該距離近似等於該第一節距的平方與該第二節距的平方的一總和的一平方根。
TW110111662A 2020-04-29 2021-03-30 半導體元件及其製造方法 TWI751065B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063017357P 2020-04-29 2020-04-29
US63/017,357 2020-04-29
US17/135,614 2020-12-28
US17/135,614 US11574901B2 (en) 2020-04-29 2020-12-28 Semiconductor device and method for manufacturing the same

Publications (2)

Publication Number Publication Date
TW202141639A TW202141639A (zh) 2021-11-01
TWI751065B true TWI751065B (zh) 2021-12-21

Family

ID=76673169

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110111662A TWI751065B (zh) 2020-04-29 2021-03-30 半導體元件及其製造方法

Country Status (3)

Country Link
US (1) US11942470B2 (zh)
CN (1) CN113097132A (zh)
TW (1) TWI751065B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200415778A (en) * 2003-02-06 2004-08-16 Taiwan Semiconductor Mfg Layout structure of standard cell
US20070126066A1 (en) * 2005-02-03 2007-06-07 Tsuoe-Hsiang Liao Semiconductor cell with power layout not contacting sides of its rectangular boundary and semiconductor circuit utilizing semiconductor cells
US20140252653A1 (en) * 2008-04-25 2014-09-11 Panasonic Corporation Layout structure of standard cell, standard cell library, and layout structure of semiconductor integrated circuit
US20180315709A1 (en) * 2017-05-01 2018-11-01 Advanced Micro Devices, Inc. Standard cell layout architectures and drawing styles for 5nm and beyond

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8704384B2 (en) 2012-02-17 2014-04-22 Xilinx, Inc. Stacked die assembly
KR20210067763A (ko) * 2019-11-29 2021-06-08 삼성전자주식회사 집적회로 소자

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200415778A (en) * 2003-02-06 2004-08-16 Taiwan Semiconductor Mfg Layout structure of standard cell
US20070126066A1 (en) * 2005-02-03 2007-06-07 Tsuoe-Hsiang Liao Semiconductor cell with power layout not contacting sides of its rectangular boundary and semiconductor circuit utilizing semiconductor cells
US20140252653A1 (en) * 2008-04-25 2014-09-11 Panasonic Corporation Layout structure of standard cell, standard cell library, and layout structure of semiconductor integrated circuit
US20180315709A1 (en) * 2017-05-01 2018-11-01 Advanced Micro Devices, Inc. Standard cell layout architectures and drawing styles for 5nm and beyond

Also Published As

Publication number Publication date
TW202141639A (zh) 2021-11-01
CN113097132A (zh) 2021-07-09
US11942470B2 (en) 2024-03-26
US20230154916A1 (en) 2023-05-18

Similar Documents

Publication Publication Date Title
TWI709178B (zh) 半導體元件及其製造方法以及製造半導體元件之系統
CN110660800B (zh) 半导体器件和生成布局图的方法
KR102558320B1 (ko) 집적 회로 디바이스 및 방법
US20230343784A1 (en) Integrated circuit
US20230377976A1 (en) Integrated circuit in hybrid row height structure
TWI806282B (zh) 積體電路裝置
US11574901B2 (en) Semiconductor device and method for manufacturing the same
US20220278099A1 (en) Circuits designed and manufactured with first and second design rules
TWI751065B (zh) 半導體元件及其製造方法
US20220367440A1 (en) Multi-bit structure
TWI753464B (zh) 半導體、積體電路元件及其製造方法
US20230008866A1 (en) Semiconductor device and method of making
TWI838677B (zh) 半導體裝置及其製造方法
TWI814351B (zh) 基於混合單元的元件、佈局和方法
TWI831276B (zh) 積體電路及其形成方法
US11862562B2 (en) Integrated circuit conductive line arrangement for circuit structures, and method
US11797745B2 (en) Semiconductor device with reduced power and method of manufacturing the same
TWI810664B (zh) 阻抗降低之半導體裝置及其製造方法
TWI759202B (zh) 半導體元件及其製造方法和用於產生一佈局圖的系統
TW202305642A (zh) 半導體裝置及其製造方法
TW202205132A (zh) 積體電路元件