TWI732072B - 清洗組成物、形成光阻圖案的方法及製造半導體裝置的方法 - Google Patents

清洗組成物、形成光阻圖案的方法及製造半導體裝置的方法 Download PDF

Info

Publication number
TWI732072B
TWI732072B TW106140992A TW106140992A TWI732072B TW I732072 B TWI732072 B TW I732072B TW 106140992 A TW106140992 A TW 106140992A TW 106140992 A TW106140992 A TW 106140992A TW I732072 B TWI732072 B TW I732072B
Authority
TW
Taiwan
Prior art keywords
composition
lithography
cleaning composition
photoresist pattern
cleaning
Prior art date
Application number
TW106140992A
Other languages
English (en)
Other versions
TW201825450A (zh
Inventor
山本和磨
石井牧
八嶋友康
長原達郎
Original Assignee
盧森堡商Az電子材料盧森堡有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 盧森堡商Az電子材料盧森堡有限公司 filed Critical 盧森堡商Az電子材料盧森堡有限公司
Publication of TW201825450A publication Critical patent/TW201825450A/zh
Application granted granted Critical
Publication of TWI732072B publication Critical patent/TWI732072B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/004Surface-active compounds containing F
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/04Carboxylic acids or salts thereof
    • C11D1/06Ether- or thioether carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/04Carboxylic acids or salts thereof
    • C11D1/08Polycarboxylic acids containing no nitrogen or sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/48Medical, disinfecting agents, disinfecting, antibacterial, germicidal or antimicrobial compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2012Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image using liquid photohardening compositions, e.g. for the production of reliefs such as flexographic plates or stamps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Public Health (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Epidemiology (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明關於一種新穎的微影術組成物,使用該微影術組成物形成光阻圖案,及一種在微影術方法中使用該微影術組成物製造半導體裝置之方法。

Description

清洗組成物、形成光阻圖案的方法及製造半導體裝置的方法
本發明關於一種微影術組成物、及一種使用該微影術組成物形成形成光阻圖案之方法。本發明之一具體實施例關於一種微影術組成物,其可用於在將為了製造半導體裝置、平面顯示器(FPD)(如液晶顯示器元件)、彩色濾光片等而施加的光敏性樹脂組成物顯影之後的清洗程序,及關於一種形成光阻圖案之方法。本發明之另一具體實施例關於一種半導體製造方法,其包括使用該微影術組成物之光阻圖案清洗程序。
在如製造半導體積體電路,例如大型積體電路(LSI)、平面顯示器(FPD)之顯示面、電路基板、彩色濾光片等各種領域中,目前已使用微影術技術進行精密處理。微影術技術使用正或負型作業光敏性樹脂組成物(光阻組成物)形成光阻圖案。
隨著裝置越小處理力越高,積體電路中需要更精密的圖案。此精密度需要嚴格的性質,例如潤濕力高,消除程序後殘渣少,減少微影術光反射,縮小曝光光阻圖案之渠寬等。其亦需要可在溶劑(例如微影術材料)中溶解及分配,且在以後的程序中易於移除之水系微影術組成物。
由於表面張力高,純水清洗及快速乾燥會造成光阻圖案瓦解。一種防止圖案瓦解之方法為研究表面張力低的清洗組成物。除了表面張力,光阻圖案形狀,尤其是節距寬度,會影響光阻圖案應力。如Namatsu等人之“Dimensional limitations of silicon nanolines resulting from pattern distortion due to surface tension of rinse water”Appl.Phys.Lett.1995(66),第2655-2657頁所揭述,圖案壁之間的距離短會造成更多應力。圖案壁寬度狹窄會亦造成更多應力。
專利公開案JP2014-219577A號揭示一種具有熔化效率良好的非離子性界面活性劑之清洗組成物。專利公開案JP2014-44298A號揭示一種具有線形烷屬烴二醇,以防止光阻圖案因清洗而瓦解之清洗組成物。
為了使圖案更精密,高潤濕力及消除程序(乾燥、蝕刻、沉積等)後殘渣少對於抑制缺陷為重要的。在該程序中,更精密的圖案因清洗乾燥而暴露於更嚴厲的應力,因而需要進一步開發適合精密圖案的微影術組成物。本發明人已發現本說明書所揭述的水系微影術組成 物,其對窄節距光阻圖案清洗(例如圖案中節距小於20奈米)呈現良好的性能。在將光阻圖案以上述微影術組成物清洗及乾燥之後,可防止圖案瓦解且減少圖案缺陷。本發明亦提供一種形成光阻圖案之方法,及一種製造半導體裝置之方法。
〔定義〕
除非另有所述,否則以下用於本說明書及請求項之術語為了本申請案之目的應具有以下意義。
在本說明書中,使用單數包括複數,及文字「一(“a”、“an”、及「該」)表示「至少一」,除非另有特定敘述。此外,使用術語「包括(“including”)」及其他形式,如“includes”及“included”,並非限制性。又如「元件」或「組件」之術語包含所有具有一單元之元件或組件、及具有超過一單元之元件或組件,除非另有特定敘述。在此使用的連接詞「及」意圖為包容性,及連接詞「或」並不意圖為排他性,除非另有指示。例如片語「或、或者」意圖為排他性。在此使用的術語「及/或」指任何以上元件的組合,包括使用單一元件。
當關於可測量數值而使用時,術語「約」或「大約」指所示的變數值、及所有在所示值之實驗誤差內的變數值(例如在平均值之95%信賴區間內或在所示值的±10%內,取其大者)。
在此使用的“Cx-y”、“Cx-Cy”、及“Cx”表示分子中的碳原子數量。例如C1-6烷鏈指具有1至6個碳原子之間之鏈的烷鏈(例如甲基、乙基、丙基、丁基、戊基、 與己基)。
在以“-”或“~”敘述數值範圍的情形,其包括終點且其單位係共用。例如5-25莫耳百分比指為5莫耳百分比或大於5莫耳百分比,且為25莫耳百分比或小於25莫耳百分比。
在本說明書中,在聚合物包含複數種重複單元且無任何指定定義的情形,這些重複單元彼此共聚合。這些共聚物可為交替共聚合、無規共聚合、嵌段共聚合、接枝共聚合、或其混合物。
在本說明書中,無任何說明則溫度單位為攝氏。例如溫度25度指攝氏25度。
在此使用的段標題係為了組織之目的,且不視為限制所揭述的標的。本申請案所引用的全部文件或部分文件,包括但不限於專利、專利申請案、文章、書籍、及約定,均為了任何目的而全部納入此處作為參考。在一種或以上的納入文獻及類似資料定義之術語與本申請案之術語定義衝突的情形,以本申請案為主。
本發明之微影術組成物包含:微影術材料、水、及由以下式(I)表示的界面活性劑:
Figure 106140992-A0202-12-0004-1
其中a為1、2、3、4、或5,b與c為整數,b+c=2a+1,b為1或大於1, d為0、1、或2,e為0或1,各X獨立由以下式(II)表示:-O-CFR1- 式(II),R1為-F、-CF3、-CF2H、-CFH2、或-CH3,Y為-COOH、-SO3H、或由以下式(III)表示的醯胺基:
Figure 106140992-A0202-12-0005-2
n為0、1、或2,L1由以下式(IV)表示:
Figure 106140992-A0202-12-0005-58
f為0或1,及g為0、1、2、或3。
至於本發明之微影術組成物,微影術材料之一具體實施例為由以下式(V)表示的二醇衍生物:
Figure 106140992-A0202-12-0005-4
其中R2、R3、R4、與R5獨立為氫、氟、或C1-5烷基,L2與L3獨立為未取代或經取代C1-5烷屬烴鍵聯子、未取代或經取代C2-4烯屬烴鍵聯子、或未取代或經取代 C2-4炔屬烴鍵聯子,當C1-5烷屬烴鍵聯子、C2-4烯屬烴鍵聯子、或C2-4炔屬烴鍵聯子經取代時,各取代基獨立為氟、C1-5烷基、或羥基,及h為0、1、或2。
本發明之微影術組成物視情況進一步包含至少一種選自酸、鹼、由式(I)表示的界面活性劑以外的界面活性劑、及由式(V)表示的二醇衍生物以外的有機溶劑之額外成分。
本發明之微影術組成物視情況進一步包含至少一種選自殺真菌劑、抗微生物劑、防腐劑、及抗真菌劑之額外成分。
一種形成本發明光阻圖案之方法包含將該微影術組成物施加於基板。
一種形成本發明光阻圖案之微影術方法包含:(1)將光敏性樹脂組成物有或無一層或以上的中介層施加在基板上,而製造光敏性樹脂組成物層,(2)將該光敏性樹脂組成物層對輻射曝光,(3)將已曝光光敏性樹脂組成物層顯影,及(4)以該微影術組成物清洗顯影層。
顯影層在此表示清洗前的光阻圖案。
本發明之半導體裝置製造方法包含形成其光阻圖案之方法。
本發明之半導體裝置製造方法進一步包含使用所形成的光阻圖案作為光罩而在基板中製造間隙。
缺陷因使用本發明之微影術組成物而減少。本發明之微影術組成物對窄節距光阻圖案清洗呈現良好的性能,且可防止圖案瓦解及圖案缺陷。使用本發明之微影術組成物及使用其之方法,在積體電路裝置(如半導體)上形成光阻圖案及製造其的效率改良。
θ‧‧‧接觸角
D‧‧‧壁間距離
H‧‧‧壁高度
W‧‧‧壁寬度
第1圖為顯示光阻壁清洗條件之示意圖。
應了解,以上的一般說明及以下的詳細說明均為描述性及解釋性,且不限制所請求的標的。
<微影術組成物>
本發明提供新穎的微影術組成物,其包含:
Figure 106140992-A0202-12-0007-5
微影術材料、水、及由以下式(I)表示的界面活性劑:其中a為1、2、3、4、或5,b與c為整數,b+c=2a+1,b為1或大於1,d為0、1、或2,e為0或1,各X獨立由以下式(II)表示:-O-CFR1- 式(II),R1為-F、-CF3、-CF2H、-CFH2、或-CH3, Y為-COOH、-SO3H、或由以下式(III)表示的醯胺基:
Figure 106140992-A0202-12-0008-6
n為0、1、或2,L1由以下式(IV)表示:
Figure 106140992-A0202-12-0008-7
f為0或1,及g為0、1、2、或3。
在本說明書中,化學式中的C(大寫C)、F、O、與H各表示碳、氟、氧、與氫原子。
<界面活性劑>
以下說明本發明之微影術組成物所包含的界面活性劑。
Figure 106140992-A0202-12-0008-8
a為1、2、3、4、或5。a較佳為1、3、或4。
b與c為整數,b+c=2a+1,及b為1或大於1。較佳為c=0且b=2a+1。
d為0、1、或2。d較佳為1或2。當d不為0時,式(I)中的複數個X為彼此相同或不同,較佳為相同。
e為0或1。當Y為-COOH或由以下式(III)表示的醯胺基時,e較佳為0。當Y為-SO3H時,e較佳為1。
各X獨立由以下式(II)表示: -O-CFR1- 式(II),當以上界面活性劑中有複數個X時,全部X較佳為彼此相同。
R1為-F、-CF3、-CF2H、-CFH2、或-CH3。R1較佳為-F或-CF3
Y為-COOH、-SO3H、或由以下式(III)表示的醯胺基:
Figure 106140992-A0202-12-0009-9
n為0、1、或2。n較佳為0或1。
L1由以下式(IV)表示:
Figure 106140992-A0202-12-0009-10
f為0或1。f較佳為1。
g為0、1、2、或3。g較佳為1或2,g更佳為2。
例如以下為a=3,b=7(2a+1),c=0,d=1,2個X中的R1均為-CF3,e=1,f=1,g=2,及Y為-SO3H之化合物。
Figure 106140992-A0202-12-0009-11
本發明之微影術組成物可包含2種或以上的彼此不同之界面活性劑,其各由式(I)表示。例如全氟-3,6-二氧雜癸酸與全氟-3,6,9-三氧雜癸酸的界面活性劑 組合適用於本發明。
本發明之微影術組成物所包含的界面活性劑包括但不限於以下:
Figure 106140992-A0202-12-0010-12
Figure 106140992-A0202-12-0011-13
Figure 106140992-A0202-12-0012-14
本發明所包含的界面活性劑可由Unimatec Co.,Ltd.、氟化學、或以下合成例所述的合成方法得到。
本發明微影術組成物中的界面活性劑之一具體實施例效果為在清洗光阻圖案及乾燥之後防止圖案瓦解。不受理論約束,以上效果的一個原因為本發明界面活性劑與光阻壁之間的低親和力可在清洗乾燥程序增加微影術組成物之接觸角。式(I)中的氟可降低組成物之表面張力。式(I)中的Y(極性基)可使界面活性劑可溶解。如果Y之酸性強,則其增加組成物之表面張力。極性基Y之酸性較小可使溶解度與低表面張力之間的平衡良好。
相對微影術組成物總質量,以上此微影術組成物之界面活性劑的含量比率較佳為0.01~0.5質量百分比,更佳為0.01~0.2質量百分比,進一步較佳為0.02~0.1質量百分比,進一步更佳為0.02~0.05質量百分比。此界面活性劑呈現良好的潤濕力且清潔殘渣,即使為低量。
本發明人發現合成以下式(I)’之新穎化合物 之方法。以下式(I)’(包括式(II)’、(III)’、及(IV)’)中a、b、c、d、e、f、g、X、Y、n、R1、與L1的定義,係與以上式(I)(包括式(II)、(III)、及(IV))中的定義相同。式(I)’之例示化合物及說明對應式(I),除了式(I)’之Y不包括-COOH。
由以下式(I)’表示之化合物:
Figure 106140992-A0202-12-0013-15
其中a為1、2、3、4、或5,b與c為整數,b+c=2a+1,b為1或大於1,d為0、1、或2,e為0或1,各X獨立由以下式(II)’表示:-O-CFR1- 式(II)’,R1為、F、-CF3、-CF2H、-CFH2、或-CH3,Y為-SO3H、或由以下式(III)’表示的醯胺基:
Figure 106140992-A0202-12-0013-16
n為0、1、或2,L1由以下式(IV)’表示:
Figure 106140992-A0202-12-0013-17
f為0或1,及 g為0、1、2、或3。
本發明亦提供包含由以上式(I)’表示的新穎化合物之新穎界面活性劑。本發明進一步提供包含由以上式(I)’表示的新穎化合物之新穎微影術界面活性劑。
<微影術材料>
在本發明之微影術組成物中,微影術材料為水溶劑中的溶質。此微影術材料可在以後的消除程序(乾燥、蝕刻、沉積等)中消除。在本發明之一具體實施例中,微影術組成物可為頂部抗反射塗層(TARC)組成物,其被層積在光阻層上以抑制反射微影術光。作為TARC組成物,氟衍生物聚合物為將與以上界面活性劑一起使用的微影術材料之一實例。在本發明之另一具體實施例中,微影術組成物可為精密圖案成形組成物。作為精密圖案成形組成物,乙烯樹脂聚合物及胺衍生物為將與以上界面活性劑一起使用的微影術材料之實例。在本發明之另一具體實施例中,微影術組成物可為基板清潔組成物。作為基板清潔組成物,酸、鹼、及過氧化氫為將與以上界面活性劑一起使用的微影術材料之實例。
在本發明之另一具體實施例中,微影術組成物可為用以清洗已曝光及已顯影光阻圖案之清洗組成物。作為清洗組成物,下述的二醇衍生物為將與以上界面活性劑一起使用的微影術材料之實例。換言之,本發明之微影術組成物較佳為清洗組成物、頂部抗反射塗層組成物、精密圖案成形組成物、或基板清潔組成物。進一步較佳為清潔組成物。
<二醇衍生物>
以下說明作為本發明微影術材料之二醇衍生物。
Figure 106140992-A0202-12-0015-18
R2、R3、R4、與R5獨立為氫、氟、或C1-5烷基。
R2、R3、R4、與R5較佳為獨立為氫、氟、甲基、乙基、三級丁基、或異丙基。R2、R3、R4、與R5更佳為獨立為氫、甲基、或乙基。R2、R3、R4、與R5進一步較佳為獨立為甲基或乙基。
L2與L3獨立為未取代或經取代C1-5烷屬烴鍵聯子、未取代或經取代C2-4烯屬烴鍵聯子、或未取代或經取代C2-4炔屬烴鍵聯子。L2與L3獨立為未取代或經取代C1-5烷屬烴鍵聯子、未取代或經取代C2-4烯屬烴鍵聯子、或未取代或經取代C2-4炔屬烴鍵聯子。烷屬烴鍵聯子較佳為C2或C4。烯屬烴鍵聯子較佳為C2。炔屬烴鍵聯子較佳為C2
當L2或L3之C1-5烷屬烴鍵聯子、C2-4烯屬烴鍵聯子、或C2-4炔屬烴鍵聯子經取代時,各取代基獨立為氟、C1-5烷基、或羥基。作為取代基之C1-5烷基較佳為甲基、乙基、三級丁基、或異丙基。C1-5烷屬烴鍵聯子、C2-4烯屬烴鍵聯子、或C2-4炔屬烴鍵聯子較佳為未取代,或經氟取代。C1-5烷屬烴鍵聯子、C2-4烯屬烴鍵聯子、或 C2-4炔屬烴鍵聯子更佳為未取代。
h為0、1、或2,h較佳為0或1,h更佳為0。
當式(V)表示2,4-己二炔-1,6-二醇時,其為h=1,L2與L3為乙炔鍵聯子(C2炔屬烴鍵聯子)。當式(V)表示2,2,3,3-四氟-1,4-丁二醇時,其為h=0,L2為氟乙烯鍵聯子(C2烷屬烴鍵聯子,經氟取代)。較佳為具有C2-16之二醇衍生物。
本發明之微影術組成物可包含2個或以上的彼此不同之各由式(V)表示的二醇衍生物。例如3-己炔-2,5-二醇與2,5-二甲基-3-己炔-2,5-二醇的二醇衍生物組合適用於本發明。
本發明之微影術組成物所包含的二醇衍生物之例示性實例為3-己炔-2,5-二醇、2,5-二甲基-3-己炔-2,5-二醇、3,6-二甲基-4-辛炔-3,6-二醇、1,4-丁炔二醇、2,4-己二炔-1,6-二醇、1,4-丁二醇、2,2,3,3-四氟-1,4-丁二醇、2,2,3,3,4,4,5,5-八氟-1,6-己二醇、順-1,4-二羥基-2-丁烯、及其混合物。
本發明微影術組成物之二醇衍生物可在將光阻圖案清洗及乾燥後減少光阻圖案缺陷。相較於具有1個羥基之小分子,例如乙醇,該二醇衍生物可防止移動到光阻壁內而造成光阻圖案熔化。
相對微影術組成物總質量,以上此微影術組成物之二醇衍生物的含量比率較佳為0.01~0.5質量百分比,更佳為0.02~0.2質量百分比,進一步較佳為0.03~0.1質量百分比。
作為溶質之二醇衍生物對水具有良好的溶解度。故該二醇衍生物可藉消除程序(例如旋轉乾燥)以水消除。
<水>
本發明微影術組成物之水為此組成物之溶劑,較佳為純水或去離子水。作為液態成分,水組成主要溶劑,雖然此微影術組成物可包含其他的液態成分。
相對微影術組成物總質量,以上此微影術組成物之水的含量比率較佳為80.00~99.98質量百分比,更佳為90.00~99.98質量百分比,進一步較佳為95.00~99.98質量百分比。
<其他成分>
本發明之微影術組成物可進一步包含添加劑,例如酸、鹼、有機溶劑、其他的水溶性化合物、或其混合物。
酸或鹼可用於調整處理液體之pH值,或改良添加劑成分之溶解度。羧酸、胺、與銨化合物為酸或鹼之實例。其包括脂肪酸、芳香族羧酸、一級胺、二級胺、三級胺、與銨化合物,且這些化合物可為未取代或經取代基取代。更特定而言,該酸與鹼可為甲酸、乙酸、丙酸、苯甲酸、苯二甲酸、柳酸、乳酸、蘋果酸、檸檬酸、草酸、丙二酸、琥珀酸、反丁烯二酸、順丁烯二酸、烏頭酸、戊二酸、己二酸、單乙醇胺、二乙醇胺、三乙醇胺、三異丙醇胺、乙二胺、二伸乙三胺、五伸乙六胺、哌嗪、哌
Figure 106140992-A0202-12-0017-59
、嗎啉、氫氧化四甲銨、及其組合。相對微影術組成物總量,酸之較佳添加量為0.005~0.1質量百 分比(50~1,000ppm)。相對微影術組成物總量,鹼之較佳添加量為0.01~0.3質量百分比(100~3,000ppm)。
在本發明之微影術組成物中,可使用水以外的選用有機溶劑作為共溶劑。該有機溶劑具有調整微影術組成物之表面張力的功能,且可改良對光阻表面的潤濕力。為了以上之目的,其較佳為水溶性有機溶劑,例如醇類,如甲醇、乙醇、異丙醇、與三級丁醇;二醇類,如乙二醇與二乙二醇;酮類,如丙酮與甲乙酮;酯類,如乙酸甲酯、乙酸乙酯、與乳酸乙酯;二甲基甲醯胺、二甲基乙醯胺、二甲基亞碸、甲賽珞蘇、賽珞蘇、丁賽珞蘇、乙酸賽珞蘇、乙酸烷基賽珞蘇、丙二醇烷基醚、丙二醇烷基醚乙酸酯、丁基卡必醇、卡必醇乙酸酯、及四氫呋喃。
相對微影術組成物總質量,有機溶劑之較佳含量比率為5質量百分比或小於5質量百分比,更佳為1質量百分比或小於1質量百分比,進一步較佳為0.01~0.3質量百分比(100~3,000ppm)。微影術組成物中有機溶劑太多會將光阻圖案溶解或變性。又本發明微影術組成物之一具體實施例有0%之有機溶劑。
亦可使用其他的水溶性化合物,以改良添加劑化合物之溶解。例如其他界面活性劑(異於由以上式(I)表示的界面活性劑)。這些其他界面活性劑可為非離子性界面活性劑、陽離子性界面活性劑、陰離子性界面活性劑、或兩性界面活性劑。其中較佳為非離子性界面活性劑。例如由ADEKA CORPORATION製造的ADEKA® Pluronic為本發明微影術組成物之選項。這些其他界面活性劑之量相對微影術組成物總質量較佳為0.01~0.3質量百分比(100~3,000ppm)。
本發明之微影術組成物可視情況包含抗微生物劑、抗菌劑、防腐劑、及/或殺真菌劑。這些化學物用以防止細菌及真菌在啟用的微影術組成物中傳播。這些化學物之實例為醇類,如苯氧基乙醇及異唑酮。Bestcide(NIPPON SODA CO.,LTD.)為特別有效的抗微生物劑、抗菌劑、及殺真菌劑。這些化學物在本發明微影術組成物中之量較佳為0.0001~1質量百分比(1~10,000ppm),更佳為0.001~0.1質量百分比(10~1,000ppm)。
本發明之微影術組成物可在將微影術組成物之成分溶解之後經過濾器過濾,以移除雜質及/或不溶物。
<形成光阻圖案之方法>
以下解釋本發明形成光阻圖案之方法。本發明之圖案形成方法的微影術步驟可為任何一種方法,其中使用可以鹼性水溶液顯影的已知正型作業或負型作業光敏性樹脂組成物形成光阻圖案。當揭述將樹脂組成物施加於基板時,該樹脂組成物可被直接施加於基板上,或者有一層或以上的其他層被施加於基板與樹脂組成物層之間。以下例示一種方法。
首先可藉基板清潔組成物清潔基板以移除灰塵或殘渣。以已知的塗覆方法將光敏性樹脂組成物施加 於基板表面上,如矽晶圓或玻璃板,如果必要則其經前處理,而形成光敏性樹脂組成物層。在基板上可形成作為中介層之底部抗反射塗膜,之後被光敏性樹脂組成物層覆蓋。光敏性樹脂組成物層可被由頂部抗反射塗料組成物製成的抗反射膜覆蓋。本發明微影術組成物之一具體實施例使用頂部抗反射塗料組成物在光阻層上製造頂部抗反射膜。光阻圖案的橫切面形狀、及光敏性光阻組成物的曝光限度,可藉由形成抗反射膜作為光敏性光阻組成物層之上或下層而改良。
可藉用於本發明圖案形成方法之鹼性顯影劑顯影之已知正型作業或負型作業光敏性樹脂組成物的典型實例為包含疊氮醌(quinone diazide)感光劑與鹼溶性樹脂之光敏性樹脂組成物、化學放大型光敏性樹脂組成物。由形成高解析度精密光阻圖案的觀點,化學放大型光敏性樹脂組成物較佳。
可用於包含疊氮醌感光劑與鹼溶性樹脂之正型作業光敏性樹脂組成物的疊氮醌化合物之實例包括1,2-疊氮苯醌-4-磺酸、1,2-疊氮萘醌-4-磺酸、1,2-疊氮萘醌-5-磺酸、及這些磺酸之酯或醯胺。上述鹼溶性樹脂之實例包括酚醛樹脂、聚乙烯酚、聚乙烯醇、及丙烯酸或甲基丙烯酸之共聚物。酚醛樹脂之較佳實例為酚類,如酚、鄰甲酚、間甲酚、對甲酚、二甲酚;及醛類,如甲醛、三聚甲醛。
其可使用已知的化學放大型光敏性樹脂組成物作為該化學放大型光敏性樹脂組成物。至於已知的化 學放大型光敏性樹脂組成物,例示為包含因照射光化射線或輻射而產生酸之化合物(光-酸產生劑)與樹脂之正型作業型化學放大型光敏性樹脂組成物,其極性因由該光-酸產生劑產生之酸的作用而提高,結果其在曝光區域與未曝光區域中對顯影劑的溶解度改變;或包含鹼溶性樹脂、光-酸產生劑、與交聯劑之負型作業型化學放大型光敏性樹脂組成物,其中因由該光-酸產生劑產生之酸的作用造成樹脂因交聯劑而交聯,結果其在曝光區域與未曝光區域中對顯影劑的溶解度改變。例如較佳為化學放大PHS丙烯酸酯水合物混成EUV光阻,進一步更佳為EIDEC標準光阻1(EUVL Infrastructure Development Center,Inc.)。
此外,酸可降解溶解抑制化合物、染料、塑化劑、界面活性劑、感光劑、有機鹼性化合物、及加速溶於顯影劑之化合物可視情況用於該化學放大型光敏性樹脂組成物。
光敏性樹脂組成物係使用合適的塗覆裝置(如旋轉器)及合適的塗覆方法施加於基板上,如矽晶圓或玻璃板,如果必要則在其上提供抗反射膜。然後將施加的光敏性樹脂組成物預烘烤,例如在加熱板上,結果將光敏性樹脂組成物中的溶劑移除而形成光阻膜。預烘烤溫度可為70~150度,較佳為90~150度,若在加熱板上則為10~180秒,較佳為30~90秒,或若在清潔烤箱中則為1~30分鐘。此條件可依使用的裝置及光阻組成物含量(樹脂、溶劑)而修改。使用已知的曝光技術,如 高壓汞燈、金屬鹵化物燈、超高壓汞燈、KrF激光雷射、ArF激光雷射、EUV照射裝置、軟式X-射線照射裝置、電子抽拉設備等,如果需要則通過預定光罩,而將已預烘烤光阻膜曝光。在曝光後烘烤(PEB)之後,將其以顯影劑顯影。已曝光光敏性樹脂組成物層顯影成為光阻圖案。
至於顯影方法,其可採用任何方法,例如槳式顯影方法等。至於顯影劑,鹼性顯影劑為較佳實例,例示為水或氫氧化鈉之水溶液、氫氧化四甲銨(TMH)等。在顯影之後,可將形成的光阻圖案以後述本發明之清洗組成物清洗(清潔)。
精密圖案成形組成物可用於將光阻圖案增大,其表示這些圖案壁之間的渠變窄。使用本發明微影術組成物之一具體實施例作為精密圖案成形組成物。塗覆在光阻圖案上的精密圖案成形組成物可被混合烘烤而在精密圖案成形組成物與光阻圖案之間製造不溶性層。該不溶性層在以後的清潔程序中保留,但是會在最終消除程序中隨光阻圖案消除。
在本發明之光阻圖案形成方法中,清洗組成物與光阻圖案之接觸時間(處理時間)較佳為或小於1秒。所屬技術領域者應了解,處理溫度可基於所使用的條件而選擇。此外,其可使用任何合適的將清洗組成物與光阻圖案接觸之方法。實例為將光阻基板浸入清洗組成物中之方法、及將清洗組成物滴在旋轉的光阻基板上之方法。
在本發明之光阻圖案形成方法中,在以本發 明之清洗組成物處理之前及/或以本發明之清洗組成物處理之後可進行清潔處理。進行前者清潔處理以清潔附著光阻圖案之顯影劑,及進行後者清潔處理以清潔使用的清洗組成物。使用本發明之清洗組成物進行清洗處理之方法可為任何已知方法。例如其可將光阻基板浸在清洗組成物中,或將清洗組成物滴在旋轉的光阻基板上而進行。這些方法可個別或組合使用。在一具體實施例中,該清潔處理可以純水實行。
在另一具體實施例中,該清潔處理可以異於純水與本發明清洗組成物之其他清洗組成物實行,且可在本發明之清洗組成物處理之前或之後使用。較佳為以本發明之清洗組成物進行最終清洗步驟。
為了將清洗組成物乾燥,其可使用旋轉乾燥、低壓下蒸發、風乾、基板加熱、及其組合。較佳為將本發明之清洗組成物旋轉乾燥。
本發明之光阻圖案形成方法的圖案瓦解限度、缺陷、及LWR問題較少,尤其是可有效改良圖案瓦解及高縱橫比之精密光阻圖案的熔化。縱橫比在此定義為光阻圖案高度對光阻圖案寬度的比率。本發明之光阻圖案形成方法較佳為包括形成精密光阻圖案的微影術步驟,即含有曝光的微影術步驟,其中使用曝光波長為250奈米或以下之KrF激光雷射、ArF激光雷射、EUV、X-射線、電子束等作為曝光之光。在藉由將化學放大型光敏性樹脂組成物以極端紫外線(EUV,波長較佳為10~20奈米,波長更佳為12~14奈米)曝光而形成超精密圖案 時,由防止圖案熔化、防止圖案瓦解、改良瓦解限度臨界尺寸等觀點,其可較佳為利用使用本發明清洗組成物之微影術。
由本發明之方法形成的光阻圖案可用於例如蝕刻、鍍敷、離子擴散處理、及染色處理用光阻。如果需要,則在處理後將光阻膜剝離。
<製造半導體裝置之方法>
以上揭示的形成光阻圖案之方法可用於本發明之半導體製造方法。由本發明清潔及形成的光阻圖案可作為用以將基板、或基板上的一層或以上形成圖案之蝕刻光罩。其可進行其他已知的處理及電路形成而製造半導體裝置。
<清洗組成物乾燥期間對光阻壁的應力>
如Namatsu等人之Appl.Phys.Lett.1995(66),第2655-2657頁所揭述,及如第1圖所示意,在清洗乾燥期間對光阻壁的應力可藉下式說明: σmax=(6γcosθ/D)×(H/W)2
σmax:對光阻的最大應力,γ:清洗之表面張力
θ:接觸角,D:壁間距離
H:壁高度,W:壁寬度
這些長度可藉已知方法測量,例如SEM照相。
由上式可知,D越短或W越短則應力越大。在本說明書中,「節距大小」表示系列光阻圖案單元中之具有W與D的一個單元,如第1圖所揭述。
其表示所需的光阻圖案越精密(節距大小越窄),則 光阻圖案所具有的應力越大。在此嚴格條件下,必須將清洗組成物更為改良。
在積體電路中,電路上的圖案為複雜的壁及渠結構。節距大小最精密的光阻圖案有最嚴格的條件。
藉由本發明之清洗組成物而有效製造時,一整個電路單元上光阻圖案之光阻圖案最精密節距大小可為20奈米或以下。「一整個電路單元」在以後的程序中製造成一個半導體裝置。術語「最精密節距大小」表示一整個電路單元上2個平行光阻壁之間的最短長度。
本發明提供比JP2014-219577A及JP2014-44298A號專利公開案所揭述的已知清洗組成物更足以防止圖案瓦解的微影術組成物。使用本發明清洗組成物的一整個電路單元上光阻圖案之最精密節距大小較佳為10~20奈米,更佳為12~19奈米,進一步較佳為14~18奈米。
現在參考本發明之更特定具體實施例、及支持此具體實施例的實驗結果。然而本發明人聲明,以下的揭示僅為例證目的,且絕不意圖限制本發明請求標的之範圍。
〔合成例1〕
藉以下合成圖進行以下合成,而得到由以上式(I)’表示的新穎化合物。
Figure 106140992-A0202-12-0025-19
在室溫在燒瓶中將7.4克之三級丁氧鉀(Tokyo Kasei Kogyo Co.,Ltd.)溶於100克之三級丁醇(Tokyo Kasei Kogyo Co.,Ltd.)。藉滴液漏斗將6.5克之以上化合物-1(Unimatec Co.,Ltd.)加入燒瓶,且將其攪拌2小時。然後添加8.1克之1,3-丙磺內酯(Tokyo Kasei Kogyo Co.,Ltd.)於10克之三級丁醇的溶液。將該溶液在65度攪拌6小時。將溶液蒸發而移除溶劑。將剩餘材料溶於100克之純水。將40克之36%之HCl與50克之NovecTM 7300(得自3M)加入該水溶液。取得Novec層及蒸發。其產生以上化合物-2(45%)。
上述合成例可結合已知的前驅體及/或方法而得到式(I)’之化合物。這些前驅體可例如由Unimatec Co.,Ltd.或藉氟化學得到。
〔合成例2〕
藉以下合成圖進行以下合成,而得到由以上式(I)’表示的新穎化合物。
Figure 106140992-A0202-12-0026-20
在燒瓶中混合200克之48%氫氧化鈉水溶液(Tokyo Kasei Kogyo Co.,Ltd.)與150克之全氟乙磺醯胺(五乙碸醯胺,Tokyo Kasei Kogyo Co.,Ltd.)。將該鹽溶液蒸發而移除水。將50克之剩餘材料與65克之化合物-3(Unimatec Co.,Ltd.)溶於200克之乙腈,及在50度攪拌20小時。將溶液過濾及蒸發而移除乙腈。將剩餘材料 溶於200克之水中。將100克之36%HCl與100克之NovecTM 7300(得自3M)加入該水溶液。取得Novec層及蒸發。其產生化合物-4(20%)。
上述合成例可組合已知的前驅體及/或方法而得到式(I)’之化合物。這些前驅體可例如由Unimatec Co.,Ltd.或藉氟化學得到。
〔實施例1〕
為了圖案瓦解防止性能評估而進行以下步驟。
在90度將矽晶圓(SUMCO,12吋)表面以1,1,1,3,3,3-六甲基二矽氮烷(HMDS)處理60秒。將化學放大型PHS丙烯酸酯水合物混成物EUV光阻旋轉塗覆在矽晶圓上,及在110度軟烤60秒而形成厚50奈米之光阻膜。在EUV曝光設備(High NA Small Field Exposure Tool,NA=0.51,四極)中,將晶圓上的光阻膜通過大小為20奈米(線:空間=1:1)之光罩並以不同曝光劑量曝光。將晶圓在110度進行曝光後烘烤(PEB)歷時60秒。將光阻膜以2.38%之氫氧化四甲銨(TMAH)水溶液進行槳式顯影歷時30秒。將清洗水倒入晶圓上的顯影劑坑(a puddle of the developer)中,此倒入係在轉動晶圓下持續進行,而將顯影劑以清洗水取代,及在水坑狀態(a puddled state by water)時停止轉動晶圓。繼而將微影術組成物引入水坑中,其為250ppm之下述F1界面活性劑(Unimatec Co.,Ltd.)、與500ppm之下述A1二醇衍生物於水(去離子水)中,且將晶圓以高速轉動而乾燥之。
Figure 106140992-A0202-12-0028-21
Figure 106140992-A0202-12-0028-22
拍攝光阻圖案之0.5微米×0.5微米的SEM相片。光阻圖案的節距大小為大約20奈米。
以CG4000(Hitachi High-Technologies Corp.)評估圖案瓦解防止性能。以下說明評估標準。
A:未觀察到圖案瓦解。
B:觀察到圖案瓦解。
為了缺陷評估而進行以下步驟。
將化學放大型PHS丙烯酸酯水合物混成物EUV光阻旋轉塗覆在矽晶圓(SUMCO,12吋)上,及在110度軟烤60秒而形成厚50奈米之光阻膜。將光阻膜以2.38%之氫氧化四甲銨(TMAH)水溶液進行槳式顯影歷時30秒。將清洗水倒入晶圓上的顯影劑坑中,此倒入係在轉動晶圓下持續進行,而將顯影劑以清洗水取代,及在水坑狀態時停止轉動晶圓。繼而將以上的微影術組成物(250ppm之F1界面活性劑與500ppm之A1於水中)引入,且將晶圓以高速轉動而乾燥之。
以晶圓表面檢查設備LS9110(Hitachi High-Technologies Corp.)評估光阻圖案的缺陷。以下說明評估標準。
A:未以該微影術組成物進行清洗步驟以外,缺陷數為由以上步驟所製造的光阻圖案數量之50%或以下。
B:未以該微影術組成物進行清洗步驟以外,缺陷數大於由以上步驟所製造的光阻圖案數量之50%。
〔實施例2至26,比較例1至6〕
進行與以上在矽晶圓上製備光阻圖案之實施例1相同的步驟,其條件為將實施例1之微影術組成物如以下表1所述而改變。進行相同的評估。結果揭述於以下表1。
Figure 106140992-A0202-12-0029-23
上表中的“Comp.ex.”表示「比較例」。
Figure 106140992-A0202-12-0030-24
Figure 106140992-A0202-12-0030-25
Figure 106140992-A0202-12-0030-26
Figure 106140992-A0202-12-0030-27
Figure 106140992-A0202-12-0030-29
Figure 106140992-A0202-12-0030-30
Figure 106140992-A0202-12-0030-31
Figure 106140992-A0202-12-0030-32
Figure 106140992-A0202-12-0030-34
Figure 106140992-A0202-12-0030-35
Figure 106140992-A0202-12-0030-36
Figure 106140992-A0202-12-0031-37
Figure 106140992-A0202-12-0031-38
Figure 106140992-A0202-12-0031-39
Figure 106140992-A0202-12-0031-41
Figure 106140992-A0202-12-0031-42
Figure 106140992-A0202-12-0031-43
Figure 106140992-A0202-12-0031-44
Figure 106140992-A0202-12-0031-45
Figure 106140992-A0202-12-0031-46
Figure 106140992-A0202-12-0031-47
Figure 106140992-A0202-12-0031-48
〔實施例27〕
如下所述而製備各種節距大小的光阻圖案。進行與以上在矽晶圓上製備光阻圖案的實施例1之圖案瓦解防止性能評估相同的步驟,除了將曝光光罩大小由24奈米改成15奈米(各線:空間比例為1:1)。
在各矽晶圓上得到節距大小為大約24、23、 22、21、20、19、18、17、16、與15奈米之光阻圖案。
拍攝光阻圖案之0.5微米×0.5微米的SEM相片。
因為節距大小越窄,則在微影術組成物乾燥期間施加於光阻圖案壁的應力越大,故藉CG4000(Hitachi High-Technologies Corp.)從節距大小較寬到較窄的光阻圖案依序評估圖案瓦解。當在一個節距寬度的光阻圖案中觀察到一個圖案瓦解時,就不評估節距大小更窄的光阻圖案,因為其有更嚴厲的條件。
在實施例26中,在節距大小為18奈米之光阻圖案觀察到圖案瓦解,故不評估節距大小為17至15之光阻圖案。
〔實施例28,比較例7至10〕
進行與以上在矽晶圓上製備光阻圖案之實施例26相同的步驟,除了將實施例26之微影術組成物如以下表2所述而改變。進行與實施例26相同的評估。結果揭述於以下表2。比較例9使用Surfynol® 440(Nissin Chemical Industry Co.,Ltd.)作為界面活性劑。比較例10使用Surfynol® 2502(Nissin Chemical Industry Co.,Ltd.)作為界面活性劑,且在清洗處理中圖案被微影術組成物熔化。Surfynol® 440與Surfynol® 2502無氟、或本發明之界面活性劑式(I)之Y。
Figure 106140992-A0202-12-0033-49
上表中的“Comp.ex.”表示「比較例」。
在較精密光阻圖案中,本發明之微影術組成物呈現較佳性能,例如圖案瓦解防止性質。
θ‧‧‧接觸角
D‧‧‧壁間距離
H‧‧‧壁高度
W‧‧‧壁寬度

Claims (13)

  1. 一種清洗組成物,其包含微影術材料、水、及界面活性劑,該微影術材料為由以下式(V)表示的二醇衍生物:
    Figure 106140992-A0305-02-0036-2
    其中R2、R3、R4、與R5獨立為氫、氟、或C1-5烷基,L2與L3獨立為未取代或經氟取代C1-5烷屬烴鍵聯子、未取代或經氟取代C2-4烯屬烴鍵聯子、或未取代或經氟取代C2-4炔屬烴鍵聯子,及h為0、1、或2,其中該界面活性劑為:
    Figure 106140992-A0305-02-0037-4
    Figure 106140992-A0305-02-0038-5
    Figure 106140992-A0305-02-0038-6
    ,或其混合物。
  2. 如請求項1之清洗組成物,其中相對於該清洗組成物總質量,該界面活性劑的含量比率為0.005質量百分比或大於0.005質量百分比,且為0.5質量百分比或小於0.5質量百分比。
  3. 如請求項1或2之清洗組成物,其中相對於該清洗組成物總質量,該微影術材料的含量比率為0.01質量百分比或大於0.01質量百分比,且為0.5質量百分比或小於0.5質量百分比。
  4. 如請求項1或2之清洗組成物,其中該清洗組成物進一步包含至少一種選自酸、鹼、該界面活性劑以外的界面活性劑、及該由式(V)表示的二醇衍生物以外的有機溶劑之額外成分。
  5. 如請求項1或2之清洗組成物,其中該清洗組成物進一步包含至少一種選自殺真菌劑、抗微生物劑、防腐劑、及抗真菌劑之額外成分。
  6. 如請求項1或2之清洗組成物,其中該微影術材料為 選自包含3-己炔-2,5-二醇、2,5-二甲基-3-己炔-2,5-二醇、3,6-二甲基-4-辛炔-3,6-二醇、1,4-丁炔二醇、2,4-己二炔-1,6-二醇、1,4-丁二醇、2,2,3,3-四氟-1,4-丁二醇、2,2,3,3,4,4,5,5-八氟-1,6-己二醇、順-1,4-二羥基-2-丁烯、及其混合物之群組。
  7. 如請求項1或2之清洗組成物,其中相對於該清洗組成物總質量,該水的含量比率為80.00~99.98質量百分比。
  8. 一種形成光阻圖案之微影術方法,其包含將如請求項1至7中至少一項之清洗組成物施加於基板。
  9. 一種形成光阻圖案之微影術方法,其中該方法包含:(1)將光敏性樹脂組成物在有或無一層或以上的中介層之下施加在基板上,而製造光敏性樹脂組成物層,(2)將該光敏性樹脂組成物層對輻射曝光,(3)將已曝光光敏性樹脂組成物層顯影,及(4)以如請求項1至7中至少一項之清洗組成物清洗顯影層,以形成光阻圖案。
  10. 如請求項9之形成光阻圖案之微影術方法,其中該光敏性樹脂組成物為化學放大型光敏性樹脂組成物,及該曝光為極端紫外線輻射之曝光。
  11. 如請求項9或10之形成光阻圖案之微影術方法,其中一整個電路單元上最精密節距大小為10奈米或大於10奈米至20奈米或小於20奈米。
  12. 一種製造半導體裝置之方法,其包含如請求項9至11中至少一項之形成光阻圖案之微影術方法。
  13. 如請求項12之製造半導體裝置之方法,其進一步包含:使用所形成的光阻圖案作為光罩而在基板中製造間隙,以形成半導體裝置。
TW106140992A 2016-11-25 2017-11-24 清洗組成物、形成光阻圖案的方法及製造半導體裝置的方法 TWI732072B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
??16002517.7 2016-11-25
EP16002517.7 2016-11-25
EP16002517 2016-11-25

Publications (2)

Publication Number Publication Date
TW201825450A TW201825450A (zh) 2018-07-16
TWI732072B true TWI732072B (zh) 2021-07-01

Family

ID=57406029

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106140992A TWI732072B (zh) 2016-11-25 2017-11-24 清洗組成物、形成光阻圖案的方法及製造半導體裝置的方法

Country Status (7)

Country Link
US (1) US11156920B2 (zh)
EP (1) EP3545361A1 (zh)
JP (1) JP6766266B2 (zh)
KR (1) KR102287420B1 (zh)
CN (1) CN110023841B (zh)
TW (1) TWI732072B (zh)
WO (1) WO2018095885A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020084854A1 (ja) 2018-10-24 2020-04-30 三菱マテリアル電子化成株式会社 含フッ素イミド塩化合物及び界面活性剤
JP2020067547A (ja) * 2018-10-24 2020-04-30 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 半導体水溶性組成物およびその使用
JP2021081545A (ja) 2019-11-18 2021-05-27 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH レジストパターン間置換液、およびそれを用いたレジストパターンの製造方法
JP2021165771A (ja) 2020-04-06 2021-10-14 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 電子機器製造水溶液、レジストパターンの製造方法およびデバイスの製造方法
IL309082A (en) 2021-07-15 2024-02-01 Merck Patent Gmbh Aqueous solution for manufacturing an electronic device, a method for manufacturing a resistive pattern and a method for manufacturing a device
WO2023170021A1 (en) 2022-03-09 2023-09-14 Merck Patent Gmbh Electronic device manufacturing solution, method for manufacturing resist pattern, and method for manufacturing device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
TW201213540A (en) * 2010-08-13 2012-04-01 Az Electronic Materials Japan Rinse solution for lithography and pattern formation method employing the same
JP2012211949A (ja) * 2011-03-30 2012-11-01 Daikin Ind Ltd リソグラフィ用リンス液及びパターン形成方法
US20150331319A1 (en) * 2012-04-06 2015-11-19 Az Electronic Materials (Luxembourg) S.A.R.L. Negative-working photosensitive siloxane composition

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
JP4485241B2 (ja) * 2004-04-09 2010-06-16 Azエレクトロニックマテリアルズ株式会社 水溶性樹脂組成物およびそれを用いたパターン形成方法
US8178482B2 (en) * 2004-08-03 2012-05-15 Avantor Performance Materials, Inc. Cleaning compositions for microelectronic substrates
WO2012086959A2 (ko) * 2010-12-20 2012-06-28 주식회사 동진쎄미켐 프린팅 프로세스용 광경화성 수지 조성물
EP2492750A1 (en) * 2011-02-28 2012-08-29 Rohm and Haas Electronic Materials LLC Photoresist compositions and methods of forming photolithographic patterns
JP6106990B2 (ja) 2012-08-27 2017-04-05 富士通株式会社 リソグラフィ用リンス剤、レジストパターンの形成方法、及び半導体装置の製造方法
JP6240404B2 (ja) 2013-05-09 2017-11-29 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ リソグラフィー用リンス液およびそれを用いたパターン形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
TW201213540A (en) * 2010-08-13 2012-04-01 Az Electronic Materials Japan Rinse solution for lithography and pattern formation method employing the same
JP2012211949A (ja) * 2011-03-30 2012-11-01 Daikin Ind Ltd リソグラフィ用リンス液及びパターン形成方法
US20150331319A1 (en) * 2012-04-06 2015-11-19 Az Electronic Materials (Luxembourg) S.A.R.L. Negative-working photosensitive siloxane composition

Also Published As

Publication number Publication date
JP6766266B2 (ja) 2020-10-07
JP2020524291A (ja) 2020-08-13
KR102287420B1 (ko) 2021-08-11
KR20190087555A (ko) 2019-07-24
US11156920B2 (en) 2021-10-26
WO2018095885A1 (en) 2018-05-31
US20190377263A1 (en) 2019-12-12
EP3545361A1 (en) 2019-10-02
TW201825450A (zh) 2018-07-16
CN110023841B (zh) 2023-05-30
CN110023841A (zh) 2019-07-16

Similar Documents

Publication Publication Date Title
TWI732072B (zh) 清洗組成物、形成光阻圖案的方法及製造半導體裝置的方法
TWI717526B (zh) 清洗組成物、形成光阻圖案之方法及製造半導體裝置之方法
JP4045180B2 (ja) リソグラフィー用リンス液およびそれを用いたレジストパターン形成方法
TWI596207B (zh) 微影用沖洗液及使用其之圖案形成方法
JP5591623B2 (ja) リソグラフィー用リンス液およびそれを用いたパターン形成方法
TWI447532B (zh) 基板處理液及使用它之光阻基板處理方法
WO2005008340A1 (ja) 微細パターン形成材料およびそれを用いた微細パターン形成方法
TWI605117B (zh) 光微影用清潔組成物及使用該組成物形成光阻圖案的方法
TWI556067B (zh) 微影用沖洗液及使用其之圖案形成方法
TW201807513A (zh) 間隙塡充組成物及使用低分子化合物之圖案形成方法
KR101820310B1 (ko) 포토레지스트 도포장비 세정용 씬너 조성물
KR101385367B1 (ko) 레지스트 패턴 개선화 재료, 레지스트 패턴의 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치
JP2001142221A (ja) 反射防止コーティング用組成物
JP2009157080A (ja) 反射防止膜形成用組成物およびそれを用いたパターン形成方法
JP6953403B2 (ja) 高耐熱性レジスト組成物およびそれを用いたパターン形成方法
JP2008112779A (ja) 反射防止膜形成用組成物およびそれを用いたパターン形成方法
KR20170116339A (ko) 포토레지스트 세정용 씬너 조성물