TWI704691B - 積體電路元件及其製作方法 - Google Patents

積體電路元件及其製作方法 Download PDF

Info

Publication number
TWI704691B
TWI704691B TW107133226A TW107133226A TWI704691B TW I704691 B TWI704691 B TW I704691B TW 107133226 A TW107133226 A TW 107133226A TW 107133226 A TW107133226 A TW 107133226A TW I704691 B TWI704691 B TW I704691B
Authority
TW
Taiwan
Prior art keywords
gate
fin
dielectric layer
fins
thickness
Prior art date
Application number
TW107133226A
Other languages
English (en)
Other versions
TW201947768A (zh
Inventor
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201947768A publication Critical patent/TW201947768A/zh
Application granted granted Critical
Publication of TWI704691B publication Critical patent/TWI704691B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

本文揭露具有最佳化的鰭片及閘極尺寸的積體電路元件。積體電路元件的示例包含第一多鰭片結構及第二多鰭片結構。第一閘極結構橫跨第一多鰭片結構,使得第一閘極結構配置於第一通道區域之上。第二閘極結構橫跨第二多鰭片結構,使得第二閘極結構配置於第二通道區域之上。第一閘極結構包含具有第一厚度的第一閘極介電層,而第二閘極結構包含具有第二厚度的第二閘極介電層。第一厚度大於第二厚度。第一多鰭片結構在第一通道區域具有第一間距,第二多及片結構在第二通道區域具有第二間距。第一間距大於第二間距。

Description

積體電路元件及其製作方法
本發明實施例係關於積體電路元件。
積體電路產業已經歷了指數成長。積體電路材料及設計的技術進展產生了數個世代的積體電路,其中每個世代具有比前個世代更小且更複雜的電路。在積體電路的演進過程中,一般來說,功能密度(例如每個晶片區域的內連接元件的數量)增加而幾何尺寸(例如使用製造製程產生的最小的元件(或線路))減小。這種縮小過程通常藉由提高生產效率和降低相關成本來提供優點。
這樣的縮小亦增加了積體電路的加工及製造的複雜性,而為了實現這些進展,積體電路的加工及製造需要類似的發展。舉例來說,隨著鰭式場效電晶體(fin-like field effect transistor,FinFET)朝向更小的特徵尺寸的技術進展,為了便於製造,配置為用於不同操作的鰭式場效電晶體以實質上相同的間距製造,如此會限制後續閘極的形成以及鰭式場效電晶體的效能。結果,並非鰭式場效電晶體全部優點都可以實現。
根據本揭露的一態樣,積體電路元件包含第一多鰭片結構、第二多鰭片結構、第一閘極結構及第二閘極結構。第一多鰭片結構具有第一通道區域。第一通道區域配置於第一源極區域與第一汲極區域之間。第二多鰭片結構具有第二通道區域。第二通道區域配置於第二源極區域與第二汲極區域之間。第一閘極結構橫跨第一多鰭片結構,使得第一閘極結構配置於第一通道區域之上。第二閘極結構橫跨第二多鰭片結構,使得第二閘極結構配置於第二通道區域之上。第一閘極結構包含第一閘極介電層,第一閘極介電層具有第一厚度,第二閘極結構包含第二閘極介電層,第二閘極介電層具有第二厚度,第一厚度大於第二厚度。第一多鰭片結構在第一通道區域具有第一間距,第二多鰭片結構在第二通道區域具有第二間距,第一間距大於第二間距。
根據本揭露的一態樣,積體電路元件包含第一鰭式場效電晶體及第二鰭式場效電晶體。第一鰭式場效電晶體包含第一閘極結構。第一閘極結構橫跨複數個第一鰭片,其中第一閘極結構包含第一閘極介電層及第一閘極電極,第一閘極介電層具有第一厚度。第二鰭式場效電晶體包含第二閘極結構,第二閘極結構橫跨複數個第二鰭片,其中第二閘極結構包含第二閘極介電層及第二閘極電極,第二閘極介電層具有第二厚度。第一厚度大於第二厚度,而配置於相鄰的第一鰭片之上的第一閘極介電層之間的間隔實質上與配置 於相鄰的第二鰭片之上的第二閘極介電層之間的間隔相同。
根據本揭露的一態樣,製造積體電路元件的方法包含:形成第一多鰭片結構及第二多鰭片結構,第一多鰭片結構在第一通道區域具有第一間距,第二多鰭片結構在第二通道區域具有第二間距,其中第一間距大於第二間距;形成第一閘極結構於第一多鰭片結構的第一通道區域之上,其中第一閘極結構包含第一閘極介電層,第一閘極介電層具有第一厚度;以及形成第二閘極結構於第二多鰭片結構的第二通道區域之上,其中第二閘極結構包含第二閘極介電層,第二閘極介電層具有第二厚度,第二厚度小於第一厚度。
1:方法
2、3、4、5、6、7、8:方塊
10:積體電路元件
12:核心區域
13A、13B:鰭式場效電晶體
14:輸入/輸出區域
15A、15B:鰭式場效電晶體
16:基材
18、20、22、24:摻雜區域
30A、30B、30C、30D:鰭片結構
32A、32B、32C、32D:鰭片
34:隔離特徵
36L:下部鰭片主動區域
36U:上部鰭片主動區域
50A、50B、50C、50D:閘極結構
52:虛設閘極
54:閘極間隔
60A、60B、60C、60D:磊晶源極/汲極特徵
70:層間介電層
80A、80B、80C、80D:溝槽
82A、82B:金屬閘極
84A、84B:閘極介電層
86A、86B:閘極電極
90:層間介電層
92A-92J:元件級接觸
B-B、C-C、D-D、E-E:線
C:通道區域
G:空隙
T:上部分
T1、T2:厚度
S/D:源極/汲極區域
S1、S2、S3、S4、S5、S6、S7、S8:間隔
P1、P2、P3、P4:間距
W1、W2、W3、W4:寬度
X、Y、Z:方向
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本揭露。應強調,根據工業中的標準實務,各特徵並非按比例繪製且僅用於說明之目的。事實上,為了論述清晰之目的,可任意增加或減小特徵之尺寸。
第1圖繪示根據本揭露的各種態樣的製造積體電路元件的方法的流程圖;第2A-2C圖、第3A-3C圖、第4A-4C圖、第5A-5C圖、第6A-6C圖、第7A-7C圖及第8A-8E圖繪示根據本揭露的各種態樣的方法(例如第1圖所示的方法)的各種製程階段的部分或全部的積體電路元件的示意圖。
本揭露係關於積體電路元件,更精確係關於鰭式場效電晶體元件。
以下揭示內容提供許多不同實施例或示例,用於實施本揭露之不同特徵。下文描述組件及排列之特定實例以簡化本揭露書的內容。當然,該等實例僅為示例且並不意欲為限制性。舉例來說,在以下描述中,第一特徵形成於第二特徵上或之上包含第一特徵與第二特徵直接接觸的實施例,亦可以包含第一特徵與第二特徵未直接接觸的實施例。
此外,本揭露可在各實例中重複元件符號及/或字母。此重複係為了簡化,並不指示所論述之各實施例及/或配置之間的關係。再者,在本揭露中,以下的特徵形成於、連接至及/或耦合至另一個特徵可以包含特徵形成直接接觸的實施例,亦可以包含另外的特徵插入形成的特徵的實施例,以使特徵並未直接接觸。進一步地,為了便於描述,本文可使用空間相對性用語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所圖示一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。空間相對性用語意欲包含元件在使用或操作中之不同定向。
在進階積體電路的技術節點(例如,22奈米技術節點及以下),鰭式場效電晶體(fin-like field effect transistor,FinFET)(亦稱為非平面電晶體)已經成為高效能及低漏電應用的普遍且有前景的選擇。積體電路一般需要在高電壓下(例如大於或等於約1.2V)操作的輸入/輸出 (input/output,I/O)鰭式場效電晶體以及在低電壓下(例如低於約1.2V)操作的核心(core)鰭式場效電晶體。為了支持輸入/輸出高電壓操作,輸入/輸出鰭式場效電晶體的閘極介電層的厚度大於核心鰭式場效電晶體的閘極介電層的厚度。這樣的閘極介電層的厚度變動對於操作界限(process margins)及鰭式場效電晶體進一步的縮放有負面的影響。舉例來說,為了便於製造,輸入/輸出鰭片及核心鰭片一般使用相同的間距製造,因此不同的閘極介電層厚度導致在形成閘極介電層後,輸入/輸出鰭片之間的間隔比核心鰭片之間的間隔小。輸入/輸出鰭片之間較窄的間隔產生後續形成閘極電極的挑戰。舉例來說,形成核心鰭式場效電晶體的閘極電極的製程窗口(process windows)受到閘極介電層形成之後輸入/輸出鰭片之間較窄的間隔的限制,因而限制了核心鰭式場效電晶體及輸入/輸出鰭式場效電晶體的操作電壓範圍(臨界電壓(threshold voltage))。本揭露藉由實現輸入/輸出鰭片的間距不同於核心鰭片的間距來克服這樣的挑戰。舉例來說,此處揭露的積體電路元件的輸入/輸出鰭片的間距大於核心鰭片的間距,而輸入/輸出閘極介電層厚度大於核心閘極介電層厚度。輸入/輸出鰭片的間距對核心鰭片的間距的比例以及輸入/輸出閘極介電層厚度對核心閘極介電層厚度的比例配置以達到在形成閘極介電層之後,輸入/輸出鰭片之間的間隔與核心鰭片之間的間隔實質上相同,以提供輸入/輸出鰭式場效電晶體及核心鰭式場效電晶體形成閘極電極的一般製程窗孔。
第1圖繪示根據本揭露的各種態樣的製造積體電路元件的方法1的流程圖。在方塊2中,方法1包含形成第一多鰭片結構,第一多鰭片結構具有第一間距,而第二多鰭片結構具有第二間距。第一間距及第二間距配置以最佳化用於後續形成閘極的鰭片間隔。舉例來說,第一間距大於第二間距。在一些實施方式中,第一多鰭片結構係積體電路元件的輸入/輸出鰭式場效電晶體的一部分,而第二多鰭片結構係積體電路元件的核心鰭式場效電晶體的一部分。在這樣的實施方式中,舉例來說,在第一多鰭片結構及第二多鰭片結構的通道區域中,第一間距對第二間距的比例為約1.05至約1.15。些微增大的第一間隔(此處藉由相對於第二間隔多約5%至約15%以達到第一間距與第二間距的比例為約1.05至約1.15)有助於形成較厚的閘極介電層,其可以最佳化輸入/輸出鰭式場效電晶體的效能,且使得形成通常包含多層結構的輸入/輸出鰭式場效電晶體及核心鰭式場效電晶體的閘極電極具有足夠的操作界限(例如間隔)。這樣的比例亦保持核心鰭式場效電晶體的最小間距,以滿足不斷縮小的積體電路技術節點的高密度需求。在一些實施方式中,這樣的比例考慮了輸入/輸出鰭式場效電晶體和核心鰭式場效電晶體的閘極介電層的厚度差異,使得在形成閘極介電層之後,配置在第一多鰭片結構的相鄰鰭片上的閘極介電層之間的間隔實質上相同於配置在第二多鰭片結構的相鄰鰭片上的閘極介電層之間的間隔。應注意到,若比例大於1.15,會過度補償輸入/輸出鰭式場效電晶體和核心鰭式場效電晶體的 閘極介電層的任意厚度差異,導致形成閘極介電層之後的間隔差異,這將會需要不同的製程窗口,而且進一步複雜化閘極電極的形成。此外,大於1.15的比例亦會導致第一間隔(例如輸入/輸出間隔)過大,而無法最佳化後續源極/汲極特徵的形成,這樣會避免磊晶源極/汲極特徵充分的合併。第一多鰭片結構的磊晶源極/汲極特徵的完全不合併可能對接觸電阻(contact resistance,Rc)有負面影響,因此降低了導通電流(on current,Ion)效能。約1.05至約1.15的比例可以確保第一多鰭片結構的磊晶源極/汲極特徵之間的完全至部分合併(因此在一些實施例中部分未合併),而補償了在此描述的閘極介電層和/或閘極電極的形成問題。
在方塊3中,方法1包含形成第一閘極結構於第一多鰭片結構之上,且形成第二閘極結構於第二多鰭片結構之上。第一閘極結構包含第一虛設閘極,而第二閘極結構包含第二虛設閘極。第一閘極結構及第二閘極結構各自橫跨第一多鰭片結構及第二多鰭片結構,因此定義了配置於第一多鰭片結構的第一源極/汲極區域之間的第一通道區域及配置於第二多鰭片結構的第二源極/汲極區域之間的第二通道區域。在方塊4中,方法1包含形成第一源極/汲極區域的第一磊晶源極/汲極特徵及第二源極/汲極區域的第二磊晶源極/汲極特徵。在方塊5中,方法1包含形成層間介電層於第一磊晶源極/汲極特徵、第二磊晶源極/汲極特徵、第一閘極結構及第二閘極結構之上。第一閘極結構的一部分及第二閘極結構的一部分(例如第一虛設閘極及第二虛設閘極)在形成 層間介電層之後暴露出來。在方塊6中,方法1包含各自從第一閘極結構及第二閘極結構移除第一虛設閘極及第二虛設閘極,因此形成第一開口於第一閘極結構中,並形成第二開口於第二閘極結構中。第一開口及第二開口可以稱為閘極開口或閘極溝槽。
在方塊7中,方法1包含形成第一金屬閘極於第一開口中,以及形成第二金屬閘極於第二開口中。第一金屬閘極包含第一閘極介電層及第一閘極電極,而第二金屬閘極包含第二閘極介電層及第二閘極電極。第一閘極介電層的厚度大於第二閘極介電層的厚度。第一閘極介電層及第二閘極介電層的厚度配置以最佳化對應第一多鰭片結構及第二多鰭片結構的元件(例如輸入/輸出鰭式場效電晶體和核心鰭式場效電晶體)的效能,同時還達到配置在第一多鰭片結構的相鄰鰭片上的第一閘極介電層之間的間隔實質上類似於配置在第二多鰭片結構的相鄰鰭片上的第二閘極介電層之間的間隔。舉例來說,在一些實施方式中,第一厚度對第二厚度的比例為約1.3至約1.8。第一閘極介電層的增加的厚度(此處相對於第二閘極介電層的厚度增加約30%至約80%以達到約1.3至約1.8的比例)提供較厚的閘極介電層,以最佳化輸入/輸出鰭式場效電晶體的效能,並同時達到與配置在核心鰭式場效電晶體的相鄰鰭片的第二閘極介電層之間的間隔實質上相同的配置於輸入/輸出鰭式場效電晶體的相鄰鰭片的第一閘極介電層之間的間隔。當形成第一閘極電極及第二閘極電極時,具有實質上相同的間隔增加了靈活性,因 而第二閘極電極的形成無關於輸入/輸出鰭式場效電晶體的鰭片之間的間隔,反之亦然。增加靈活性可以使第一閘極電極及第二閘極電極更多的層數、材料及/或組態,因此允許不同鰭式場效電晶體的較大範圍的臨界電壓。當比例小於1.3,在這樣的實施例中,因為第一閘極介電層厚度與第二閘極介電層厚度之間的任何差異係最小的或如本文所述可以忽略對於形成閘極電極的負面影響,不一定需要此處描述的雙鰭片間距方法(例如鰭片間距的比例為約1.05至約1.15)。當比例大於1.8,此處描述的雙鰭片間距方法可能無法補償第一閘極介電層與第二閘極介電層之間這種較大的差異,因此需要其他解決辦法。在方塊8,方法1可以繼續完成積體電路元件的製造。舉例來說,可以形成各種接觸於第一金屬閘極、第二金屬閘極、第一磊晶源極/汲極特徵及/或第二磊晶源極/汲極特徵上。在一些實施方式中,各種接觸係積體電路元件的多層內連接結構的一部分。可以在方法1之前、期間或之後提供額外的步驟,且在另外的方法1的實施例中,一些上述的步驟可以移動、置換或移除。
第2A-2C圖、第3A-3C圖、第4A-4C圖、第5A-5C圖、第6A-6C圖、第7A-7C圖及第8A-8E圖係繪示根據本揭露的各種態樣在方法(例如第1圖的方法1)的各製造階段的積體電路元件10(部分或整體)的示意圖。積體電路元件10包含各種元件區域,例如核心區域(通常稱為邏輯區域)、記憶區域(例如靜態隨機存取記憶(SRAM)區域)、類比區域、週邊區域(通常稱為輸入/輸出區域)、虛設區域、 其他合適的區域或其組合。在繪示的實施例中,積體電路元件10包含核心區域12及輸入/輸出區域14,每個皆可以包含各種被動或主動微電子元件,例如電阻、電容、電感、二極體、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistors,MOSFETs)、互補式金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極性接面型電晶體(bipolar junction transistors,BJTs)、橫向擴散金屬氧化物半導體(laterally diffused MOS,LDMOS)電晶體、高電壓電晶體、高頻率電晶體、其他合適的組件或其組合。舉例來說,核心區域12配置以包含p型鰭式場效電晶體13A及n型鰭式場效電晶體13B,因此核心區域12包含具有互補鰭式場效電晶體的鰭式場效電晶體元件。在更進一步的示例中,輸入/輸出區域14配置以包含p型鰭式場效電晶體15A及n型鰭式場效電晶體15B,因此輸入/輸出區域14包含具有互補鰭式場效電晶體的鰭式場效電晶體元件。在一些實施方式中,積體電路元件10可以為積體電路晶片的一部分、系統單晶片(system on chip,SoC)或其部分。第2A-2C圖、第3A-3C圖、第4A-4C圖、第5A-5C圖、第6A-6C圖、第7A-7C圖及第8A-8E圖經簡化以更為清楚,使得本揭露的發明概念能夠更好理解。可以在積體電路元件10加入額外的特徵,而在積體電路元件10的其他實施例中,一些下述的特徵可以置換、修改或移除。
請參考第2A-2C圖,第2A圖係積體電路元件10 的上視圖,第2B圖為沿著第2A圖的線B-B的積體電路元件10的部分剖面圖,而第2C圖為沿著第2A圖的線C-C的積體電路元件10的部分剖面圖。在第2A-2C圖中,積體電路元件10包含基材(晶圓)16。在繪示的實施例中,基材16包含矽。另外或另選地,基材16包含另一個元素半導體,例如鍺;化合物半導體,例如碳化矽、磷化矽,砷化鎵,磷化鎵,磷化銦,砷化銦和/或銻化銦;合金半導體,例如矽鍺(SiGe)、SiPC、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其組合。或者,基材16係絕緣層上有半導體材料(semiconductor-on-insulator)的基材,例如絕緣體上矽(silicon-on-insulator,SOI)基材、絕緣體上矽鍺(silicon germanium-on-insulator,SGOI)基材、或絕緣體上鍺(germanium-on-insulator,GOI)基材。絕緣層上有半導體材料的基材可以使用植入氧隔離(separation by implantation of oxygen,SIMOX)、晶圓接合及/或其他合適的方法製作。在一些實施方式中,基材16包含一或多種III-V族材料、一或多種II-IV族材料或其組合,根據積體電路元件10的設計需求而定。
基材16包含各種根據積體電路元件10的設計需求配置的摻雜區域,例如摻雜區域18、摻雜區域20、摻雜區域22及摻雜區域24。在一些實施方式中,基材16包含以p型摻雜劑摻雜的p型摻雜區域(例如p型井(well)),p型摻雜劑例如硼(舉例來說,BF2)、銦、其他p型摻雜劑或其組合。在一些實施方式中,基材16包含以n型摻雜劑摻雜的 n型摻雜區域(例如n型井(well)),n型摻雜劑例如磷、砷、其他n型摻雜劑或其組合。在一些實施方式中,基材16包含由p型摻雜劑及n型摻雜劑組合形成的摻雜區域。在繪示的實施例中,摻雜區域18配置用於p型鰭式場效電晶體13A,摻雜區域20配置用於n型鰭式場效電晶體13B,摻雜區域22配置用於p型鰭式場效電晶體15A,而摻雜區域24配置用於n型鰭式場效電晶體15B。舉例來說,摻雜區域18及摻雜區域22為n型井,而摻雜區域20及摻雜區域24為p型井。各種摻雜區域可以直接形成於基材16中及/或之上,舉例來說,提供p型井結構、n型井結構、雙井結構(dual-well structure)、隆起結構(raised structure)或其組合。可以執行離子植入製程、擴散製程及/或其他合適的摻雜製程以形成各種摻雜區域。
鰭式場效電晶體13A包含鰭片結構30A(具有鰭片32A),鰭式場效電晶體13B包含鰭片結構30B(具有鰭片32B),鰭式場效電晶體15A包含鰭片結構30C(具有鰭片32C),而鰭式場效電晶體15B包含鰭片結構30D(具有鰭片32D)。本揭露提供鰭片結構30A、鰭片結構30B、鰭片結構30C及/或鰭片結構30D包含比第2A-2C圖所繪示鰭片數量多或少的實施例。鰭片32A實質上平行於彼此;鰭片32B實質上平行於彼此;鰭片32C實質上平行於彼此;鰭片32D實質上平行於彼此。各鰭片32A-32D具有在x方向上定義的寬度、在y方向上定義的長度以及在z方向上定義的高度。此外,各鰭片32A-32D具有沿其y方向長度定義的至少一個 通道區域(C)以及至少一個源極/汲極區域(S/D),其中至少一個通道區域配置於源極/汲極區域之間。通道區域包含由鰭片32A-32D的側壁部分之間定義的鰭片32A-32D的上部分,其中上部分及側壁部分接觸閘極結構(如下述),使得電流可以在積體電路元件10操作期間在源極/汲極區域之間流動。源極/汲極區域亦可以包含由鰭片32A-32D的側壁部分之間定義的鰭片32A-32D的上部分。在一些實施方式中,鰭片32A-32D為基材16的一部分(例如基材16的材料層的一部分)。舉例來說,基材16包含矽,鰭片32A-32D包含矽。或者,在一些實施方式中,鰭片32A-32D在覆蓋基材16的材料層中定義,例如一或多層半導體材料層。舉例來說,鰭片32A-32D可以包含具有各種半導體層的半導體層疊構(例如異質結構(heterostructure)),半導體層疊構配置於基材16之上。半導體層可以包含任何合適的半導體材料,例如矽、鍺、矽鍺、其他合適的半導體材料或其組合。半導體層可以包含相同或不同的材料、蝕刻速度、組成原子百分比、組成重量百分比、厚度及/或組態,根據積體電路元件10的設計需求而定。在一些實施方式中,半導體層疊構包含選擇的半導體層,例如由第一材料構成的半導體層和由第二材料構成的半導體層。舉例來說,半導體層疊構交替堆疊矽層及矽鍺層(例如由下至上為SiGe/Si/SiGe/Si/SiGe/Si)。在一些實施方式中,半導體層疊構包含相同材料但交替的組成原子百分比的半導體層,例如具有第一原子百分比組成的半導體層以及具有第二 原子百分比組成的半導體層。舉例來說,半導體層疊構包含具有交替的矽及/或鍺原子百分比的矽鍺層(例如由下至上SiaGeb/SicGed/SiaGeb/SicGed/SiaGeb/SicGed,其中a及c為不同的矽原子百分比,而b及d為不同的鍺原子百分比)。在一些實施方式中,鰭片32A、鰭片32B、鰭片32C及/或32D包含相同或不同的材料及/或相同或不同的半導體層疊構,根據積體電路元件10的對應的鰭式場效電晶體及/或區域的設計需求而定。
使用合適的製程形成鰭片32A-32D於基材16之上。如第2A-2C圖所示,在一些實施方式中,執行沉積、微影及/或蝕刻製程的組合以定義自基材16延伸的鰭片32A-32D。舉例來說,形成鰭片32A-32D包含執行微影製程以形成圖案化光阻層於基材16之上(或配置於基材16上的材料層,例如異質結構)以及執行蝕刻製程以將由圖案化光阻層定義的圖案轉移至基材16(或配置於基材16上的材料層,例如異質結構)。微影製程可以包含形成光阻層於基材16上(例如藉由旋塗)、執行曝光前烘烤製程、使用光罩執行曝光製程、執行曝光後烘烤製程及執行顯影製程。在曝光製程期間,光阻層暴露於輻射能(例如紫外線(UV)、深紫外線(DUV)或極紫外線(EUV)),其中根據光罩的光罩圖案及/或光罩類型(例如二元光罩(binary mask)、相偏移光罩(phase shift mask)或極紫外線光罩(EUV mask))阻擋、傳遞及/或反射輻射至光阻層,使得圖案投射至對應光罩圖案的光阻層。由於光阻層對輻射能敏感,暴露於輻射的光阻 層部分產生化學變化,而根據顯影製程使用的顯影溶液及光阻層的特性,暴露(或未暴露)於輻射的光阻層部分在顯影製程期間溶解。在顯影之後,圖案化光阻層包含對應光罩的光阻圖案。蝕刻製程使用圖案化光阻層作為蝕刻遮罩,以移除部分的基材16(或位於基材16之上的材料層)。蝕刻製程可以包含乾蝕刻製程(例如反應離子刻蝕(reactive ion etching,RIE)製程)、濕蝕刻製程、其他合適的蝕刻製程或其組合。在蝕刻製程之後,自基材16移除圖案化光阻層,例如藉由光阻移除製程。或者,鰭片32A-32D藉由多個蝕刻製程形成,例如雙重圖案化微影(double patterning lithography,DPL)製程(例如微影-蝕刻-微影-蝕刻(lithography-etch-lithography-etch,LELE)製程、自對準雙重圖案化(self-aligned double patterning,SADP)製程、介電間隔圖案化(spacer-is-dielectric patterning,SIDP)製程、其他雙重圖案化製程或其組合)、三重圖案化製程(例如微影-蝕刻-微影-蝕刻-微影-蝕刻(lithography-etch-lithography-etch-lithography-etch,LELELE)製程、自對準三重圖案化(self-aligned triple patterning,SATP)製程、其他三重圖案化製程或其組合)、其他多重圖案化製程(例如自對準四重圖案化(self-aligned quadruple patterning,SAQP)製程)或其組合。通常,雙重圖案化製程及/或多重圖案化製程結合了微影製程及自對準製程,使得產生的圖案具有諸如比使用其他單一、直接微影製程得到更小的間距。舉例來說,在一些 實施方式中,使用微影製程形成圖案化犧牲層於基材之上,並使用自對準製程形成間隔於圖案化犧牲層的旁邊。接著,移除圖案化犧牲層,而間隔可以用於圖案化基材,而形成鰭片,例如鰭片32A-32D。在一些實施方式中,在形成鰭片32A-32D期間執行定向自組裝(directed self-assembly,DSA)技術。更進一步,在一些實施方式中,曝光製程可以使用無光罩微影、電子束寫入、離子束寫入及/或奈米印刷技術。
隔離特徵34形成於基材之上及/或其中,以隔離各種區域,例如積體電路元件10的核心區域12及輸入/輸出區域14。隔離特徵34進一步分離並隔離主動元件區域及/或被動元件區域,例如鰭式場效電晶體13A、鰭式場效電晶體13B、鰭式場效電晶體15A及鰭式場效電晶體15B。隔離特徵34進一步分離且隔離鰭片,例如鰭片32A-32D。在繪示的實施例中,隔離特徵34環繞鰭片32A-32D的下部分,因此定義了鰭片32A-32D的上部鰭片主動區域36U(一般稱為鰭片32A-32D自隔離特徵34的上表面延伸(突出)的一部分)及鰭片32A-32D的下部鰭片主動區域36L(一般稱為鰭片32A-32D自基材16的上表面延伸(突出)至隔離特徵34的上表面的一部分)。隔離特徵34包含氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如包括矽、氧、氮、碳或其他合適的隔離成分)或其組合。隔離特徵34可以包含不同的結構,例如淺溝槽隔離(shallow trench isolation,STI)結構、深溝槽隔離(deep trench isolation,DTI)結構及/ 或矽局部氧化隔離(local oxidation of silicon,LOCOS)結構。在一些實施方式中,淺溝槽隔離特徵可以藉由在基材16中蝕刻溝槽(例如藉由乾蝕刻製程及/或濕蝕刻製程)及以絕緣材料填充溝槽(例如藉由化學氣相沉積製程或旋塗式玻璃(spin-on glass)製程)而形成。可以執行化學機械研磨(chemical mechanical polishing,CMP)製程以移除過多的絕緣材料及/或平坦化隔離特徵34的上表面。在一些實施方式中,淺溝槽隔離特徵可以藉由在形成鰭片32A-32D之後沉積絕緣材料於基材16之上(在一些實施方式中,絕緣材料層填充鰭片32A-32D之間的間隙或溝槽)及回蝕絕緣材料形成隔離特徵34而形成。在一些實施方式中,隔離特徵34包含填充溝槽的多層結構,例如配置於襯裡介電層(liner dielectric layer)之上的主體介電層(bulk dielectric layer),其中主體介電層及襯裡介電層包含根據設計需求而定的材料(例如包含氮化矽的主體介電層配置於包含熱氧化物的襯裡介電層之上)。在一些實施方式中,隔離特徵34包含配置於摻雜襯裡層(例如包含硼矽酸鹽玻璃(boron silicate glass,BSG)或磷矽酸鹽玻璃(phosphosilicate glass,PSG))之上的介電層。
在第2A-2C圖中,鰭片32A-32D配置以最佳化用於後續在核心區域12及輸入/輸出區域14中形成閘極的鰭片間隔。舉例來說,在積體電路元件10中,輸入/輸出鰭片間距(X1)定義於輸入/輸出鰭片的通道區域中,核心鰭片間距(X2)定義於核心鰭片的通道區域中,輸入/輸出鰭片間 距(X1)大於核心鰭片間距(X2)。在繪示的實施例中,鰭片結構30A的間距P1通常為鰭片32A的寬度W1及鰭片32A之間的間隔S1的總和(換句話說,P1=W1+S1),鰭片結構32B的間距P2通常為鰭片32B的寬度W2及鰭片32B之間的間隔S2的總和(換句話說,P2=W2+S2),鰭片結構32C的間距P3通常為鰭片32C的寬度W3及鰭片32C之間的間隔S3的總和(換句話說,P3=W3+S3),而鰭片結構32D的間距P4通常為鰭片32D的寬度W4及鰭片32D之間的間隔S4的總和(換句話說,P4=W4+S4)。間距P3大於間距P1(P3>P1),而間距P4大於間距P2(P4>P2),可以增加形成閘極的操作界限,將於後續進一步描述。特別地,增加輸入/輸出區域14的通道區域間距有助於形成最佳化元件的輸入/輸出區域14效能需要的較厚的閘極介電層,而有足夠的操作界限(例如間隔)以形成閘極電極及最小化核心區域12的間距以支持進一步縮放,其中閘極電極可以包含多層(包含功函數層)。在一些實施方式中,輸入/輸出鰭片間距大於核心鰭片間距約5%至約15%。舉例來說,輸入/輸出鰭片間距對核心鰭片間距的比例(通常稱為鰭片間距比例)為約1.05至約1.15(換句話說,1.05<X1/X2<1.15),使得間距P3對間距P1的比例為1.05<P3/P1<1.15,而間距P4對間距P2的比例為1.05<P4/P2<1.15。在一些實施方式中,輸入/輸出鰭片間距小於或等於30nm,例如間距P3及/或間距P4,而核心鰭片間距小於或等於28nm,例如間距P1及/或間距P2。在一些實施方式中,輸入/輸出鰭片 間距小於或等於28nm,例如間距P3及/或間距P4,而核心鰭片間距小於或等於26nm,例如間距P1及/或間距P2。在一些實施方式中,以此處描述的鰭片間距比例配置輸入/輸出鰭片間隔及核心鰭片間隔小於約30nm可以滿足進階積體電路技術節點的需求。在一些實施方式中,核心區域12的鰭片具有實質上相同的間距(例如P1
Figure 107133226-A0305-02-0022-2
P2),而輸入/輸出區域14的鰭片具有實質上相同的間距(例如P3
Figure 107133226-A0305-02-0022-3
P4)。在一些實施方式中,核心區域12具有實質上相同的寬度(例如W1
Figure 107133226-A0305-02-0022-4
W2),而輸入/輸出區域14的鰭片具有實質上相同的寬度(例如W3
Figure 107133226-A0305-02-0022-5
W4)。在一些實施方式中,輸入/輸出區域14的鰭片32C及/或鰭片32D的寬度(此處為W3及W4)小於核心區域12的鰭片32A及/或鰭片32B的寬度(此處為W1及W2)。為了最佳化後續的閘極的形成,應注意到,間距P1-P4、寬度W1-W4及間隔S1-S4係用於鰭片結構30A-30D的通道區域。
本揭露考慮可能由積體電路元件10的製程中造成的變動的鰭片32A-32D的高度、寬度及/或長度。在繪示的實施例中,鰭片32A-32D沿各自的高度具有逐漸變窄的寬度,其中寬度W1-W4沿著鰭片32A-32D的高度減小。在繪示的實施例中,寬度W1-W4各自代表對應的鰭片32A-32D的上部鰭片主動區域36U的上部分T的變動寬度的平均。在這樣的實施方式中,寬度從上部鰭片主動區域36U的選定的上部分T的邊界至鰭片32A-32D的上表面縮小,因此寬度W1-W4各自代表上部鰭片主動區域36U的上 部分T沿著其高度減小的寬度的平均。在一些實施方式中,上部鰭片主動區域36U的上部分T為約5nm的鰭片32A-32D。在一些實施方式中,寬度W1-W4各自代表對應的上部鰭片主動區域36U的變動寬度的平均。在這樣的實施方式中,寬度自隔離特徵34的上表面至鰭片32A-32D的上表面減小,因此寬度W1-W4各自代表上部鰭片主動區域36U沿著其高度減小的寬度的平均。在一些實施方式中,寬度W1-W4各自代表對應的鰭片32A-32D的整體的變動寬度的平均。在這樣的實施方式中,寬度自基材16的上表面至鰭片32A-32D的上表面減少,因此寬度W1-W4各自代表沿著鰭片32A-32D高度減少的寬度的平均。在一些實施方式中,寬度W1-W4可以沿著鰭片32A-32D自約5nm至約15nm變動,取決於量測的寬度W1-W4的位置沿鰭片32A-32D的高度。在一些實施方式中,鰭片寬度根據鰭片相對於其他鰭片的位置和/或相對於積體電路元件10的其他特徵而變化。舉例來說,中間鰭片的寬度(在繪示的實施例中,鰭片結構30A-30D各自包含兩個中間鰭片)大於週邊的鰭片的寬度(此處為包圍鰭片結構30A-30D的兩個中間鰭片的最左邊的鰭片和最右邊的鰭片)。在另一個示例中,或者,中間鰭片的寬度小於週邊鰭片的寬度。在進一步的實施方式中,週邊鰭片及中間鰭片的對應的寬度可以本文描述的任何方式表示週邊鰭片及中間鰭片的對應的平均寬度。儘管鰭片32A-32D繪示為具有逐漸縮小的寬度,但是在一些實施方式中,鰭片32A-32D沿著其高度具有實質上相同的寬 度。
在一些實施方式中,圖案定義於包含第一開口及第二開口的圖案化光阻層中(或圖案化光罩層),第一開口具有定義鰭片32A-32B的第一寬度,第二開口具有定義鰭片32C-32D的第二寬度,其中第一寬度大於第二寬度。在這樣的實施方式中,蝕刻製程之後使用圖案化光阻層作為蝕刻遮罩,以移除部分的基材16(或配置於基材16之上的材料層),使得鰭片32A-32D形成具有如上所述的間距P1-P4。在一些實施方式中,定義於圖案化光阻層(或圖案化光罩層)的圖案包含定義鰭片32A-32D的開口,其中開口具有實質上相同的寬度。在這樣的實施方式中,蝕刻製程之後使用圖案化光阻層作為蝕刻遮罩,以移除部分的基材16(或配置於基材16之上的材料層),使得鰭片32A-32D具有相同的寬度。在進一步的實施方式中,之後執行修整製程以修整鰭片結構30C-30D,因此減少鰭片32C-32D的寬度,使得鰭片32C-32D的寬度小於鰭片32A-32B的寬度。修整製程可以為任何合適的減少鰭片32C-32D尺寸的製程。舉例來說,在一些實施方式中,修整製程包含可以相對於積體電路元件10的其他特徵選擇性蝕刻鰭片32C-32D的蝕刻製程。蝕刻製程為乾蝕刻製程、濕蝕刻製程或其組合。在一些實施方式中,濕蝕刻製程使用包含氫氧化銨(NH4OH)、過氧化氫(H2O2)、硫酸(H2SO4)、四甲基氫氧化銨(TMAH)、其他合適的濕蝕刻溶液或其組合的蝕刻溶液。舉例來說,濕蝕刻溶液可以使用NH4OH:H2O2溶液、NH4OH:H2O2:H2O溶液 (所謂的氨-過氧化物混合物(ammonia-peroxide mixture,APM))或H2SO4:H2O2溶液(所謂的硫-過氧化物混合物(sulfuric peroxide mixture,SPM))。在一些實施方式中,乾蝕刻製程使用包含含氟蝕刻氣體(例如CF4、SF6、CH2F2、CHF3及/或C2F6)、含氧氣體、含氯氣體(例如Cl2、CHCl3、CCl4及/或BCl3)、含溴氣體(例如HBr及/或CHBR3)、含碘氣體、其他合適的氣體及/或電漿或其組合的蝕刻氣體。在一些實施方式中,修整製程使用氧化製程。舉例來說,修整製程可以暴露鰭片32C-32D於臭氧環境中,因此氧化了一部分的鰭片32C-32D,隨後藉由清理製程及/或蝕刻製程移除。
請參考第3A-3C圖,第3A圖繪示積體電路元件10的上視圖,第3B圖繪示沿著第3A圖的線B-B的積體電路元件10的部分剖面圖,而第3C圖繪示沿著第3A圖的線C-C的積體電路元件10的部分剖面圖。在第3A-3C圖中,各種閘極結構形成於鰭片32A-32D之上,例如閘極結構50A、閘極結構50B、閘極結構50C及閘極結構50D。閘極結構50A-50D沿著x方向延伸(例如實質上垂直於鰭片32A-32D)並橫跨對應的鰭片結構30A-30D,使得閘極結構50A-50D環繞對應的鰭片32A-32D的上部鰭片主動區域36U。在繪示的實施例中,閘極結構50A及閘極結構50B配置於鰭片32A-32B對應的通道區域之上,而閘極結構50C配置於鰭片32C-32D對應的通道區域之上。閘極結構50A-50B環繞鰭片32A-32B對應的通道區域,因此介於鰭 片32A-32B對應的源極/汲極區域之間。閘極結構50A-50B接合鰭片32A-32B對應的通道區域,使得電流可以在操作期間在鰭片32A-32B對應的源極/汲極區域之間流動。閘極結構50C環繞鰭片32C-32D對應的通道區域,因此介於鰭片32C-32D對應的源極/汲極區域之間。在進一步繪示的實施例中,閘極結構50D環繞部分的鰭片32C-32D,使得鰭片32C-32D的源極/汲極區域配置於閘極結構50D及閘極結構50C之間。在一些實施方式中,閘極結構50A-50C為主動閘極結構,而閘極結構50D為虛設閘極結構。「主動閘極結構」一般稱為積體電路元件10的電功能閘極結構(electrically functional gate structure),而「虛設閘極結構」一般稱為積體電路元件10的非電功能閘極結構(electrically non-functional gate structure)。在一些實施方式中,虛設閘極結構模擬主動閘極結構的物理性質,例如主動閘極結構的物理尺寸,但無法使用(換句話說,電流無法流通)。在一些實施方式中,閘極結構50D實現實質上一致的製程環境,例如在鰭片32C-32D的源極/汲極區域中實現一致的磊晶材料成長(例如形成磊晶源極/汲極特徵時)、鰭片32C-32D的源極/汲極區域中一致的蝕刻速度(例如形成源極/汲極凹陷時)及/或一致且實質上平坦的表面(例如藉由減少(或防止)化學機械研磨引起的凹陷效應(dishing effect))。在一些實施方式中,積體電路元件10配置以使得閘極結構50D為主動閘極結構及/或閘極結構50A、閘極結構50B及/或閘極結構50C為虛設閘極結構。
閘極結構50A-50D包含閘極疊構,閘極疊構配置以達到根據積體電路元件10的設計需求預期的功能,其中閘極結構50A-50D包含相同或不同的層及/或材料。閘極結構50A-50D根據後閘極製程(gate last process)來製造,使得閘極結構50A-50D具有第3A-3C圖中的虛設閘極52,虛設閘極52接著被金屬閘極置換。虛設閘極52包含,舉例來說,介面層(例如包含氧化矽)及虛設閘極電極(例如包含多晶矽)。在一些實施方式中,虛設閘極52包含配置於虛設閘極電極與介面層之間的虛設閘極介電層。虛設閘極介電層包含介電材料,例如氧化矽、高介電值介電材料、其他合適的介電材料或其組合。高介電值介電材料的示例包含HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)、其他合適的高介電值介電材料或其組合。虛設閘極52可以包含許多其他層,例如覆蓋層、介面層、擴散層、阻隔層、硬遮罩層或其組合。虛設閘極52藉由沉積製程、微影製程、蝕刻製程、其他合適的製程或其組合而形成。舉例來說,執行沉積製程以形成虛設閘極電極層於基材16之上,特別是鰭片32A-32D及隔離結構34之上。在一些實施方式中,在形成虛設閘極電極層之前執行沉積製程以形成虛設閘極介電層於鰭片32A-32D之上,其中虛設閘極電極層形成於虛設閘極介電層之上。沉積製程包含化學氣相沉積、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、高密度電漿化學氣相沉積(high density plasma CVD,HDPCVD)、金屬有機化學氣相沉積(metal organic CVD,MOCVD)、遠距電漿化學氣相沉積(remote plasma CVD,RPCVD)、電漿增強化學氣相沉積(plasma enhanced CVD,PECVD)、低壓化學氣相沉積(low-pressure CVD,LPCVD)、原子層化學氣相沉積(atomic layer CVD,ALCVD)、大氣壓力化學氣相沉積(atmospheric pressure CVD,APCVD)、電鍍、其他合適的方法或其組合。接著執行微影圖案化及蝕刻製程,以圖案化虛設閘極電極層(以及在一些實施方式中,圖案化虛設閘極介電層),形成虛設閘極52,使得虛設閘極52如繪示環繞鰭片32A-32D。微影圖案化製程包含光阻塗布(例如旋塗)、軟烘烤、光罩對準、曝光、曝光後烘烤、顯影光阻、漂洗、乾燥(例如硬烘烤)、其他合適的製程或其組合。或者,微影曝光製程可以藉由其他方法協助、實施或置換,例如無光罩微影、電子束寫入或離子束寫入。在其他選擇中,微影圖案化製程是用奈米印刷技術。蝕刻製程包含乾蝕刻製程、濕蝕刻製程、其他蝕刻方法或其組合。
閘極結構50A-50D更包含配置於與虛設閘極52(例如沿著其側壁)相鄰的對應的閘極間隔54。閘極間隔54藉由任何合適的製程形成,並包含介電材料。介電材料可以包含矽、氧、碳、氮、其他合適的材料或其組合(例如氧化矽、氮化矽、氮氧化矽或碳化矽)。舉例來說,在繪示的實施例中,介電層包含矽及氮,例如氮化矽層,可以配置於基材16之上,且接著非等向性蝕刻以形成閘極間隔54。 在一些實施方式中,閘極間隔54包含多層結構,例如包含氮化矽的第一介電層及包含氧化矽的第二介電層。在一些實施方式中,閘極間隔54包含多於一組的間隔,例如密封間隔(seal spacers)、偏移間隔(offset spacers)、犧牲間隔(sacrificial spacers)、虛設間隔及/或主間隔(main spacers),形成於與閘極疊構相鄰處。在這樣的實施方式中,各組的間隔可以包含具有不同蝕刻速度的材料。舉例來說,第一介電層包含矽及氧,第一介電層可以沉積於基材16之上,接著非等向性蝕刻以形成相鄰於閘極疊構的第一間隔組,而第二介電層包含矽及氮,第二介電層可以沉積於基材16之上,接著非等向性蝕刻以形成相鄰於第一間隔組的第二間隔組。可以執行植入、擴散及/或退火製程以在形成閘極間隔54之前及/或之後在鰭片32A-32D的源極/汲極區域形成輕摻雜(lightly doped)的源極及汲極(LDD)特徵及/或重摻雜的源極及汲極(HDD)特徵(兩者皆未繪示於第3A-3C圖中)。
請參考第4A-4C圖,第4A圖繪示積體電路元件10的上視圖,第4B圖繪示沿著第4A圖的線B-B的積體電路元件10的部分剖面圖,第4C圖繪示沿著第4A圖的線C-C的積體電路元件10的部分剖面圖。在第4A-4C圖中,源極特徵及汲極特徵(稱作源極/汲極特徵)形成於鰭片32A-32D的源極/汲極區域中。舉例來說,半導體材料磊晶成長於鰭片32A-32D上,以形成磊晶源極/汲極特徵60A於鰭片32A上,形成磊晶源極/汲極特徵60B於鰭片32B上,形成磊晶 源極/汲極特徵60C於鰭片32C上,以及形成磊晶源極/汲極特徵60D於鰭片32D上。在繪示的實施例中,對鰭片32A-32D的源極/汲極區域執行鰭片凹陷製程(例如回蝕製程),使得磊晶源極/汲極特徵60A-60D自鰭片32A-32D的較低的鰭片主動區域36L成長。在一些實施方式中,鰭片32A-32D的源極/汲極區域不經歷鰭片凹陷製程,使得磊晶源極/汲極特徵60A-60D自鰭片32A-32D的上部鰭片主動區域36U的至少一部分成長並環繞鰭片32A-32D的上部鰭片主動區域36U的至少一部分。在進一步繪示的實施例中,磊晶源極/汲極特徵60A-60D沿著x方向(在一些實施方式中,係實質上垂直於鰭片32A-32D)橫向延伸(成長),使得磊晶源極/汲極特徵60A-60D成為橫跨多個鰭片的合併的磊晶源極/汲極特徵(例如磊晶源極/汲極60A橫跨鰭片32A,磊晶源極/汲極60B橫跨鰭片32B,磊晶源極/汲極60C橫跨鰭片32C,而磊晶源極/汲極60D橫跨鰭片32D)。由於輸入/輸出區域14的鰭片結構的間距大於核心區域12的鰭片結構的間距,輸入/輸出區域14的磊晶源極/汲極特徵可以部分合併,而非完全合併。舉例來說,在第4C圖中,磊晶源極/汲極特徵60A-60B完全合併,使得磊晶源極/汲極特徵60A橫跨鰭片32A而在自相鄰的鰭片32A成長的磊晶材料之間沒有間斷(或空隙),磊晶源極/汲極特徵60B橫跨鰭片32B而在自相鄰的鰭片32B成長的磊晶材料之間沒有間斷(或空隙)。相反的,在第4B圖中,磊晶源極/汲極特徵60C-60D係部分合併,使得磊晶源極/汲極特徵60C橫跨鰭 片32C而在自相鄰的鰭片32C成長的磊晶材料之間具有間斷(或空隙G),磊晶源極/汲極特徵60D橫跨鰭片32D而在自相鄰的鰭片32D成長的磊晶材料之間具有間斷(或空隙G)。
磊晶製程可以用化學氣相沉積技術(例如氣相磊晶(vapor-phase epitaxy,VPE)、超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)、低壓化學氣相沉積及/或電漿增強化學氣相沉積)、分子束磊晶(molecular beam epitaxy)、其他合適的選擇性磊晶成長製程(SEG)或其組合。磊晶製程可以使用氣體及/或液體前驅物,其會與基材16及/或鰭片32A-32D的組成發生反應。磊晶源極/汲極特徵60A-60D以n型摻雜劑及/或p型摻雜劑摻雜。舉例來說,鰭式場效電晶體13A及鰭式場效電晶體15A中,磊晶源極/汲極特徵60A及磊晶源極/汲極特徵60C為包含矽及/或鍺的磊晶層,其中包含矽鍺的磊晶層以硼、碳、其他p型摻雜劑或其組合摻雜(例如形成Si:Ge:B磊晶層或Si:Ge:C磊晶層)。在進一步的示例中,鰭式場效電晶體13B及鰭式場效電晶體15B中,磊晶源極/汲極特徵60B及磊晶源極/汲極特徵60D為包含矽及/或碳的磊晶層,其中包含矽的磊晶層或包含矽碳的磊晶層以磷、砷、其他n型摻雜劑或其組合摻雜(例如形成Si:P磊晶層、Si:C磊晶層或Si:C:P磊晶層)。應注意到,在第4A圖中,磊晶源極/汲極特徵60A-60D繪示為氧化物定義(oxide definition,OD)區域,使得磊晶源極/汲極特徵60A及磊晶源極/汲極特徵60C可以替換性的稱為P+氧化物定義區域,而磊晶源極/汲極特徵60B及磊晶源極/ 汲極特徵60D可以替換性的稱為N+氧化物定義區域。在一些實施方式中,磊晶源極/汲極特徵60A-60D包含可以達到通道區域預期的拉伸應力及/或壓縮應力的材料及/或摻雜劑。在一些實施方式中,磊晶源極/汲極特徵60A-60D在沉積期間藉由加入摻雜物於磊晶製程的源材料中而摻雜。在一些實施方式中,磊晶源極/汲極特徵60A-60D藉由沉積製程之後的離子植入製程而摻雜。在一些實施方式中,執行退火製程以活化積體電路元件10的磊晶源極/汲極特徵60A-60D及/或其他源極/汲極特徵的摻雜劑,例如HDD區域及/或LDD區域(兩者皆未繪示於第4A-4C圖)。
請參考第5A-5C圖,第5A圖繪示積體電路元件10的上視圖,第5B圖繪示沿著第5A圖的線B-B的積體電路元件10的部分剖面圖,第5C圖繪示沿著第5A圖的線C-C的積體電路元件10的部分剖面圖。第5A-5C圖中,層間介電層70形成於基材16之上,特別是位於磊晶源極/汲極特徵60A-60D、閘極結構50A-50D及鰭片32A-32D之上。在一些實施方式中,層間介電層70為多層內連接(multilayer interconnect,MLI)特徵的一部分,多層內連接特徵電性耦合積體電路元件10的各種元件(例如電晶體、電阻、電容及/或電感)及/或組件(例如閘極結構及/或源極/汲極特徵),使得各種元件及/或組件可以按照積體電路元件10的設計要求的規定進行操作。層間介電層70包含介電材料,介電材料例如包含氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(TEOS)形成的氧化物、磷矽玻璃(PSG)、硼磷矽玻璃 (BPSG)、低介電值介電材料、其他合適的介電材料或其組合。例示性的低介電值介電材料包含氟矽玻璃(FSG)、碳摻雜氧化矽、Black Diamond®(Applied Materials of Santa Clara,California)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、無定形氟化碳、聚對二甲苯(Parylene)、苯並環丁烯(BCB)、SiLK(Dow Chemical,Midland,Michigan)、聚醯亞胺、其他低介電值介電材料或其組合。在一些實施方式中,層間介電層70具有含有多層介電材料的多層結構。在一些實施方式中,接觸蝕刻停止層(contact etch stop layer,CESL)配置於層間介電層70與磊晶源極/汲極特徵60A-60D、鰭片32A-32D及/或閘極結構50A-50D之間。接觸蝕刻停止層包含不同於層間介電層70的材料,例如不同於層間介電層70的介電材料。在繪示的實施例中,層間介電層70包含低介電值介電材料,接觸蝕刻停止層包含矽及氮(例如氮化矽或氮氧化矽)。層間介電層70及/或接觸蝕刻停止層形成於基材16之上,例如藉由沉積製程(例如化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、金屬有機化學氣相沉積、遠距電漿化學氣相沉積、電漿增強化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、大氣壓力化學氣相沉積、電鍍、其他合適的方法或其組合)。在一些實施方式中,層間介電層70及/或接觸蝕刻停止層藉由流動化學氣相沉積(flowable CVD,FCVD)製程形成,例如包含沉積流動材料(例如液體化合物)於基材16之上並藉由合適的技術(例如 熱退火及/或紫外線輻射處理)將流動材料轉化為固體材料。在沉積層間介電層70及/或接觸蝕刻停止層之後,執行化學機械研磨製程及/或其他平坦化製程,直到(暴露)閘極結構50A-50D的上部分。在繪示的實施例中,執行化學機械研磨製程及/或平坦化製程直到到達(暴露)虛設閘極52。
請參考第6A-6C圖,第6A圖繪示積體電路元件10的上視圖,第6B圖繪示沿著第6A圖的線B-B的積體電路元件10的部分剖面圖,第6C圖繪示沿著第6A圖的線C-C的積體電路元件10的部分剖面圖。在第6A-6C圖中,移除閘極結構50A-50D的虛設閘極52以形成溝槽(開口)80A於閘極結構50A中,形成溝槽80B於閘極結構50B中,形成溝槽80C於閘極結構50C中,以及形成溝槽80D於閘極結構50D中。溝槽80A-80D暴露鰭片32A-32D的上部鰭片主動區域36U。在一些實施方式中,虛設閘極52的一部分被移除,使得溝槽80A-80D暴露虛設閘極52的介面層及/或閘極介電層(以及在一些實施方式中,亦暴露虛設閘極介電層)。蝕刻製程係乾蝕刻製程、濕蝕刻製程或其組合。在一些實施方式中,蝕刻製程選擇性移除虛設閘極52,而不移除(或最小程度移除)層間介電層70、閘極間隔54、隔離特徵34、鰭片32A-32D及/或積體電路元件10的其他特徵。在一些實施方式中,可以調整選擇性蝕刻製程,使得虛設閘極電極層(例如包含多晶矽)相對於介面層及/或虛設閘極52的虛設閘極介電層、閘極間隔54、層間介電層70及/或積體電路元件10的其他特徵具有適當的蝕刻速度。在一些實施方式中,閘極 結構50A-50D中的至少一個的虛設閘極52以金屬閘極替換,而閘極結構50A-50D中的至少一個的虛設閘極52保持原樣(換句話說,不替換),使得溝槽可能不會形成於全部的閘極結構50A-50D中。
請參考第7A-7C圖,第7A圖繪示積體電路元件10的上視圖,第7B圖繪示沿著第7A圖的線B-B的積體電路元件10的部分剖面圖,第7C圖繪示沿著第7A圖的線C-C的積體電路元件10的部分剖面圖。在第7A-7C圖中,金屬閘極形成於溝槽80A-80D中。在繪示的實施例中,金屬閘極82A形成於閘極結構50A-50B的溝槽80A-80B中,而金屬閘極82B形成於閘極結構50C-50D的溝槽80C-80D中。金屬閘極82A-82B配置根據積體電路元件10的設計需求以達到預期的功能,使得閘極結構50A-50D包含相同或不同的層及/或材料。在繪示的實施例中,金屬閘極82A包含閘極介電層84A及閘極電極86A,而金屬閘極82B包含閘極介電層84B及閘極電極86B。由於閘極結構50A-50B橫跨p型鰭式場效電晶體13A及n型鰭式場效電晶體13B,本揭露考慮了閘極結構50A-50B在對應p型鰭式場效電晶體13A及n型鰭式場效電晶體13B的區域可以包含不同層。舉例來說,配置於對應鰭式場效電晶體13A的摻雜區域18之上的閘極介電層84A及/或閘極電極86A的層數、組態及/或材料可以不同於配置於對應鰭式場效電晶體13B的摻雜區域20之上的閘極介電層84A及/或閘極電極86A的層數、組態及/或材料。由於閘極結構50C-50D橫跨p型鰭式場效電晶體15A及 n型鰭式場效電晶體15B,本揭露更考慮了閘極結構50C-50D在對應p型鰭式場效電晶體15A及n型鰭式場效電晶體15B的區域可以包含不同層。舉例來說,配置於對應鰭式場效電晶體15A的摻雜區域22之上的閘極介電層84B及/或閘極電極86B的層數、組態及/或材料可以不同於配置於對應鰭式場效電晶體15B的摻雜區域24之上的閘極介電層84B及/或閘極電極86B的層數、組態及/或材料。
閘極介電層84A環繞鰭片32A及鰭片32B的上部鰭片主動區域36U,而閘極介電層84B環繞鰭片32C及鰭片32D的上部鰭片主動區域36U。在繪示的實施例中,閘極介電層84A共形地配置於鰭片32A、鰭片32B及隔離特徵34之上,使得閘極介電層84A具有實質上一致的厚度T1。在進一步繪示的實施例中,閘極介電層84B共形地配置於鰭片32C、鰭片32D及隔離特徵34之上,使得閘極介電層84B具有實質上一致的厚度T2。為了支持輸入/輸出高電壓操作,輸入/輸出鰭式場效電晶體的閘極介電層的厚度大於核心鰭式場效電晶體的閘極介電層的厚度。舉例來說,在進一步繪示的實施例中,閘極介電層84B的厚度T2大於閘極介電層84A的厚度T1(T2>T1)。在一些實施方式中,厚度T2大於厚度T1約30%。在一些實施方式中,厚度T2對厚度T1的比例大於約1.3(換句話說,T2/T1
Figure 107133226-A0305-02-0036-6
1.3)。在繪示的實施例中,厚度T2對厚度T1的比例為約1.3至約1.8(換句話說,1.8
Figure 107133226-A0305-02-0036-7
T2/T1
Figure 107133226-A0305-02-0036-8
1.3)。核心區域12及輸入/輸出區域14的間距(此處為P1-P4)及閘極介電層的厚度(此處為T1及T2)配 置以達到配置於核心區域12中相鄰的鰭片上的閘極介電層之間的間隔(此處為間隔S5及/或間隔S6)實質上與配置於輸入/輸出區域14中相鄰的鰭片上的閘極介電層之間的間隔(此處為間隔S7及/或間隔S8)相同。舉例來說,在繪示的實施例中,配置於相鄰的鰭片32A上的閘極介電層84A之間的間隔S5實質上與配置於相鄰的鰭片32C上的閘極介電層84B之間的間隔S7相同(換句話說,S5
Figure 107133226-A0305-02-0037-9
S7),而配置於相鄰的鰭片32B上的閘極介電層84A之間的間隔S6實質上與配置於相鄰的鰭片32D上的閘極介電層84B之間的間隔S8相同(換句話說,S6
Figure 107133226-A0305-02-0037-10
S8)。
在一般的積體電路元件中,核心區域及輸入/輸出區域包含具有實質上相同鰭片間距的鰭片結構,不同的閘極介電層厚度導致輸入/輸出鰭片之間的間隔小於核心鰭片之間的間隔,因而限制了閘極電極的形成。舉例來說,由於核心區域及輸入/輸出區域的閘極電極一般係同時形成的,且包含許多相同的層,輸入/輸出鰭片之間較窄的間隔限制了核心區域及輸入/輸出區域的閘極電極的層數、材料及/或組態,亦限制了鰭式場效電晶體的核心區域及輸入/輸出區域的臨界電壓範圍。相反的,本文所述增加輸入/輸出區域14的鰭片間距(相對於核心區域12)最佳化了閘極的形成,其係藉由使用較厚的輸入/輸出區域14的閘極介電層,而不限制後續形成的核心區域12及輸入/輸出區域14的閘極電極的間隔。舉例來說,因為在形成閘極介電層之後,輸入/輸出鰭片及核心鰭片之間的間隔實質上相同,核心區 域12的閘極電極的形成不會受到輸入/輸出鰭片之間的間隔的限制(換句話說,核心區域12的閘極電極的形成與輸入/輸出鰭片的間隔無關),因而相較於一般積體電路元件,增加了形成核心區域12的閘極電極的彈性。此外,相較於一般積體電路元件,輸入/輸出鰭片的增大的間隔增加了形成輸入/輸出區域14的閘極電極的彈性。增大的彈性允許核心區域12及輸入/輸出區域14的閘極電極更多的層數、材料及/或組態,因此允許積體電路元件10的不同鰭式場效電晶體有更大範圍的臨界電壓,其中的每一個通常針對特定操作(例如高速應用、低功率應用和/或其它應用)而最佳化。這是在不影響核心區域12的效能(例如維持期望小的鰭片之間的間隔以支持持續不斷的積體電路縮放)、輸入/輸出區域14的效能及/或閘極製造製程的情況下達成。不同實施例可以具有不同優點,且任何實施例不需要特定的優點。
閘極介電層84A-84B包含介電材料,例如氧化矽、高介電值介電材料、其他合適的介電材料或其組合。在繪示的實施例中,閘極介電層84A-84B包含一或多層高介電值介電層,其例如包含鉿、鋁、鋯、鑭、鉭、鈦、釔、氧、氮、其他合適的成分或其組合。在一些實施方式中,一或多層高介電值介電層包含HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、ZrO2、Al2O3、HfO2-Al2O3、TiO2、Ta2O5、La2O3、Y2O3、其他合適的高介電值介電材料或其組合。高介電值介電材料一般為具有高介電常數的介電材料,例如介電常數高於氧化矽(k
Figure 107133226-A0305-02-0038-1
3.9)。在一些實施方式 中,高介電值介電材料具有大於或等於9的介電常數(k
Figure 107133226-A0305-02-0039-11
9)。在一些實施方式中,閘極介電層84A-84B更包含介面層(包含介電材料,例如氧化矽),介面層配置於高介電值介電層與對應的鰭片32A-32D及隔離特徵34之間。在一些實施方式中,閘極介電層84A-84B包含氮摻雜的含氧介電層及配置於氮摻雜的含氧介電層之上的高介電值介電層。在一些實施方式中,高介電值介電層的厚度對氮摻雜的含氧介電層的厚度的比例小於1。在一些實施方式中,閘極介電層84B的氮摻雜的含氧介電層的厚度對閘極介電層84A的氮摻雜的含氧介電層的厚度的比例大於或等於約2。在一些實施方式中,閘極介電層84B的高介電值介電層的厚度對閘極介電層84A的高介電值介電層的厚度的比例大於或等於約1。在一些實施方式中,閘極介電層84A-84B配置以根據積體電路元件10的設計需求調整鰭式場效電晶體13A、鰭式場效電晶體13B、鰭式場效電晶體15A及/或鰭式場效電晶體15B的功函數。閘極介電層84A-84B藉由各種製程形成,例如原子層沉積、化學氣相沉積、物理氣相沉積及/或其他合適的製程。
閘極電極86A-86B各自配置於閘極介電層84A-84B之上。閘極電極86A-86B包含導電材料。在一些實施方式中,閘極電極86A-86B包含多層,例如一或多個覆蓋層、功函數層、膠/阻隔層及/或金屬填充(或主體)層。覆蓋層可以包含防止或除去閘極介電層84A-84B及閘極結構50A-50D的其他層之間成份的擴散及/或反應(特別是閘 極層包含金屬的情況)的材料。在一些實施方式中,覆蓋層包含金屬及氮,例如氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(W2N)、氮化矽鈦(TiSiN)、氮化矽鉭(TaSiN)或其組合。功函數層可以包含調整至具有預期的功函數(例如n型功函數或p型功函數)的導電材料,例如n型功函數材料或p型功函數材料。p型功函數材料包含TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他p型功函數材料或其組合。n型功函數材料包含Ti、Al、Ag、Mn、Zr、TiAl、TiAlC、TaC、TaCN、TaSiN、TaAl、TaAlC、TiAlN、其他n型功函數材料或其組合。膠/阻隔層可以包含促進相鄰層之間黏附的材料,例如功函數層與金屬填充層及/或阻擋及/或減少閘極層(例如功函數層及金屬填充層)之間的擴散的材料。舉例來說,膠/阻隔層包含金屬(例如W、Al、Ta、Ti、Ni、Cu、Co、其他合適的金屬或其組合)、金屬氧化物、金屬氮化物(例如TiN)或其組合。金屬填充層可以包含合適的導電材料,例如Al、W及/或Cu。在一些實施方式中,硬遮罩層(例如包含氮化矽或碳化矽)配置於閘極電極86A-86B的至少一部分之上。閘極電極86A-86B藉由各種沉積製程形成,例如原子層沉積、化學氣相沉積、物理氣相沉積及/或其他合適的製程。因為間隔S5-S8實質上相同,閘極電極86A的形成並不會受到閘極電極86B的形成的限制,有利於調整閘極電極86A的靈活性,以實現鰭式場效電晶體13A和/或鰭式場效電晶體13B的最佳性能。此外,本文描述的增大的間隔S7-S8更有利於調整閘極電極86B 的靈活性,以實現鰭式場效電晶體15A和/或鰭式場效電晶體15B的最佳性能。因此當形成閘極電極86A-86B時可以使用一般製程窗口(process windows)。可以執行化學機械研磨以移除閘極電極86A-86B的各層中任何多餘的材料,以平坦化閘極結構50A-50D。
請參考第8A-8E圖,第8A圖繪示積體電路元件10的上視圖,第8B圖繪示沿著第8A圖的線B-B的積體電路元件10的部分剖面圖,第8C圖繪示沿著第8A圖的線C-C的積體電路元件10的部分剖面圖,第8D圖繪示沿著第8A圖的線D-D的積體電路元件10的部分剖面圖,第8E圖繪示沿著第8A圖的線E-E的積體電路元件10的部分剖面圖。在第8A-8E圖中,可以進一步執行製程以完成製造積體電路元件10。在一些實施方式中,形成各種接觸以促進積體電路元件10的操作。舉例來說,多層內連接特徵形成於基材16之上。多層內連接特徵電性耦合積體電路元件10的各種元件(例如電晶體、電阻、電容及/或電感)及/或組件(例如閘極結構及/或源極/汲極特徵),使得各種元件及/或組件可以按照積體電路元件10的設計要求的規定進行操作。多層內連接特徵包含介電層及導電層(例如金屬層)的組合,配置以形成各種內連接結構。導電層配置以形成垂直內連接特徵,例如元件級接觸及/或連通柱,及/或水平內連接特徵,例如導電線。垂直內連接特徵一般連接多層內連接特徵的不同層(或不同平面)的水平內連接特徵。在積體電路元件10操作期間,內連接特徵配置以在積體電路元件10的元件(此處為鰭 式場效電晶體13A、鰭式場效電晶體13B、鰭式場效電晶體15A及鰭式場效電晶體15B)及/或組件之間傳遞訊號及/或分配訊號(例如時脈訊號、電壓訊號及/或接地訊號)至積體電路元件10的元件及/或組件。本揭露考慮了多層內連接特徵包含根據積體電路元件10的設計需求的任意數量及/或組態的介電層及導電層。
多層內連接特徵可以包含形成於基材16之上的額外的層間介電層。在繪示的實施例中,層間介電層90係為多層內連接特徵的一部分,層間介電層90配置於層間介電層70及閘極結構50A-50D之上。層間介電層90類似於層間介電層70。在一些實施方式中,層間介電層90為多層內連接特徵的第一級層間介電層。在一些實施方式中,接觸蝕刻停止層配置於層間介電層90與層間介電層70之間,此接觸蝕刻停止層類似本文所述的接觸蝕刻停止層。在進一步繪示的實施例中,元件級接觸92A-92J、連通柱(未繪示)及導電線(未繪示)(統稱為多層內連接特徵的金屬層)配置於多層內連接特徵的層間介電層中,以形成內連接結構。元件級接觸92A-92J、連通柱及導電線包含任何合適的導電材料,例如Ta、Ti、Al、Cu、Co、W、TiN、TaN、其他合適的導電材料或其組合。可以組合各種導電材料以提供各層的元件級接觸92A-92J、連通柱及/或導電線,例如一或多層阻隔層、黏著層、襯裡層、主體層、其他合適層或其組合。在一些實施方式中,元件級接觸92A-92J包含Ti、TiN及/或Co;連通柱包含Ti、TiN及/或W;而導電線包含Cu、Co 及/或Ru。元件級接觸92A-92J、連通柱及導電線藉由圖案化層間介電層70、層間介電層90及/或多層內連接特徵的其他層間介電層而形成。圖案化層間介電層可以包含微影製程及/或蝕刻製程,以形成開口(溝槽),例如形成接觸開口、連通柱開口及/或線開口於對應的層間介電層。在一些實施方式中,微影製程包含形成光阻層於對應的層間介電層之上、暴露光阻層於圖案化的輻射以及顯影曝光後的光阻層,因而形成圖案化光阻層,圖案化光阻層可以在蝕刻對應的層間介電層的開口時作為遮罩。蝕刻製程包含乾蝕刻製程、濕蝕刻製程、其他蝕刻製程或其組合。之後,以一或多種導電材料填充開口。導電材料可以藉由物理氣相沉積、化學氣相沉積、原子層沉積、電鍍、化學鍍、其他合適的沉積製程或其組合而沉積。之後,可以使用平坦化製程移除任何多餘的導電材料,例如化學機械研磨製程,因此平坦化層間介電層(例如層間介電層90)、元件級接觸92A-92J、連通柱及導電線的上表面。
元件級接觸92A-92J(亦稱為局部內連接或局部接觸)電性耦合及/或物理耦合積體電路元件特徵,例如鰭式場效電晶體13A、鰭式場效電晶體13B、鰭式場效電晶體15A及鰭式場效電晶體15B耦合至多層內連接特徵的特徵。舉例來說,元件級接觸92A-92J為「金屬至元件(metal-to-device)」接觸,其一般為與導電區域的接觸,例如積體電路元件10的源極/汲極區域。在繪示的實施例中,元件級接觸92A-92C配置於各自的磊晶源極/汲極特徵 60A上,以使元件級接觸92A-92C物理(或直接)連接鰭式場效電晶體13A的源極/汲極區域至多層內連接特徵(例如對應的連通柱);元件級接觸92D-92F配置於各自的磊晶源極/汲極特徵60B上,以使元件級接觸92D-92F物理(或直接)連接鰭式場效電晶體13B的源極/汲極區域至多層內連接特徵(例如對應的連通柱);元件級接觸92G及元件級接觸92H配置於各自的磊晶源極/汲極特徵60C上,以使元件級接觸92G及元件級接觸92H物理(或直接)連接鰭式場效電晶體15A的源極/汲極區域至多層內連接特徵(例如對應的連通柱);而元件級接觸92I及元件級接觸92J配置於各自的磊晶源極/汲極特徵60D上,以使元件級接觸92I及元件級接觸92J物理(或直接)連接鰭式場效電晶體15B的源極/汲極區域至多層內連接特徵(例如對應的連通柱)。在一些實施例中,一或多個元件級接觸92A-92J為虛設接觸,其具有類似於元件級接觸的物理性質,以實現實質上一致的製程環境。元件級接觸92A-92J延伸穿過層間介電層90及/或層間介電層70,不過本揭露亦考量了元件級接觸92A-92J延伸穿過或多或少的多層內連接特徵的層間介電層及/或接觸蝕刻停止層。在一些實施方式中,多層內連接特徵包含電性耦合及/或物理耦合一或多個閘極結構50A-50D至多層內連接特徵的元件級接觸。在這樣的實施方式中,元件級接觸配置於各自的閘極結構50A-50D上,以使元件級接觸物理(或直接)連接閘極結構50A-50D至多層內連接特徵(例如,至對應的連通柱)。這樣的元件級接觸因此稱為閘極接觸(gate contact,CG)或金屬至多晶矽接觸(metal-to-poly,MP),其一般為與閘極結構的接觸,例如多晶矽閘極結構或金屬閘極結構。在一些實施方式中,多層內連接特徵包含電性耦合及/或物理耦合一或多個閘極結構50A-50D至多層內連接特徵的連通柱。在這樣的實施方式中,連通柱配置於各自的閘極結構50A-50D上,以使連通柱物理(或直接)連接各自的閘極結構50A-50D至多層內連接特徵(例如對應的導電線)。本揭露考量了元件級接觸、連通柱及/或導電線的任意配置。
本揭露提供許多不同實施例。例示性的積體電路元件包含第一多鰭片結構,第一多鰭片結構具有配置於第一源極區域與第一汲極區域之間的第一通道區域。例示性的積體電路元件亦包含第二多鰭片結構,第二多鰭片結構具有配置於第二源極區域與第二汲極區域之間的第二通道區域。第一閘極結構橫跨第一多鰭片結構,以使第一閘極結構配置於第一通道區域之上。第二閘極結構橫跨第二多鰭片結構,以使第二閘極結構配置於第二通道區域之上。第一閘極結構包含具有第一厚度的第一閘極介電層,而第二閘極結構包含具有第二厚度的第二閘極介電層,第一厚度大於第二厚度。第一多鰭片結構在第一通道區域中具有第一間距,第二多鰭片結構在第二通道區域中具有第二間距。在一些實施方式中,第一間距對第二間距的比值為約1.05至約1.15。在一些實施方式中,第一厚度對第二厚度的比值為約1.3至約1.8。在一些實施方式中,第一多鰭片結構的鰭片寬度小於 第二多鰭片結構的鰭片寬度。在一些實施方式中,第一間距小於約30nm,而第二間距小於約28nm。在一些實施方式中,配置於第一多鰭片結構的相鄰鰭片的第一閘極介電層之間的第一間隔實質上與配置於第二多鰭片結構的相鄰鰭片的第二閘極介電層之間的第二間隔相同。在一些實施方式中,積體電路元件進一步包含輸入/輸出區域及核心區域,其中第一多鰭片結構及第一閘極結構係為配置於輸入/輸出區域的電晶體的一部分,而第二多鰭片結構及第二閘極結構係為配置於核心區域的電晶體的一部分。在一些實施方式中,積體電路元件進一步包含第一磊晶源極/汲極特徵,配置於第一源極區域及第一汲極區域之上,而第二磊晶源極/汲極特徵配置於第二源極區域及第二汲極區域之上,其中第一磊晶源極/汲極特徵部分合併,而第二磊晶源極/汲極特徵完全合併。
另一個例示性的積體電路元件包含第一鰭式場效電晶體,包含橫跨第一鰭片的第一閘極結構。而第二鰭式場效電晶體包含橫跨第二鰭片的第二閘極結構。第一閘極結構包含第一閘極介電層及第一閘極電極,其中第一閘極介電層具有第一厚度。第二閘極結構包含第二閘極介電層及第二閘極電極,其中第二閘極介電層具有第二厚度。第一厚度大於第二厚度。配置於相鄰的第一鰭片上的第一閘極介電層之間的間隔實質上與配置於相鄰的第二鰭片上的第二閘極介電層之間的間隔相同。在一些實施方式中,第一厚度大於第二厚度約3%至約8%。在一些實施方式中,第一鰭片的通道 區域的第一間距大於第二鰭片的通道區域的第二間距約5%至約15%。在一些實施方式中,第一鰭片的上部鰭片主動區域的上部分的寬度小於第二鰭片的上部鰭片主動區域的上部分的寬度。在一些實施方式中,上部分為第一鰭片及第二鰭片的約5nm。在一些實施方式中,第一鰭式場效電晶體包含配置於第一鰭片之上的部分合併的磊晶源極/汲極特徵,而第二鰭式場效電晶體包含配置於第二鰭片之上的完全合併的磊晶源極/汲極特徵。在一些實施方式中,第一鰭式場效電晶體配置於輸入/輸出區域,而第二鰭式場效電晶體配置於核心區域。
例示性的方法包含形成在第一通道區域中具有第一間距的第一多鰭片結構以及在第二通道區域中具有第二間距的第二多鰭片結構。第一間距大於第二間距。方法更包含形成第一閘極結構於第一多鰭片結構的第一通道區域之上。第一閘極結構包含具有第一厚度的第一閘極介電層。方法更包含形成第二閘極結構於第二多鰭片結構的第二通道區域之上。第二閘極結構包含具有第二厚度的第二閘極介電層,且第一厚度大於第二厚度。在一些實施方式中,第一間距對第二間距的比例為約1.05至約1.15。在一些實施方式中,第一厚度對第二厚度的比例為約1.3至約1.8。在一些實施方式中,形成第一閘極結構包含以第一金屬閘極置換第一虛設閘極,其中第一金屬閘極包含第一閘極介電層及配置於第一閘極介電層之上的第一閘極電極。在一些實施方式中,形成第二閘極結構包含以第二金屬閘極置換第二虛設閘 極,其中第二金屬閘極包含第二閘極介電層及配置於第二閘極介電層之上的第二閘極電極。在一些實施方式中,在形成第一閘極介電層及第二閘極介電層之後,配置於第一多鰭片結構的相鄰鰭片上的第一閘極介電層之間的間隔實質上與配置於第二多鰭片結構的相鄰鰭片上的第二閘極介電層之間的間隔相同。
上文概述若干實施例或示例之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為基礎來設計或修改其他製程及結構,以便實施本文所介紹之實施例的相同目的及/或實現相同優點。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離本揭露之精神及範疇的情況下產生本文的各種變化、替代及更改。
10:積體電路元件
12:核心區域
13A、13B:鰭式場效電晶體
14:輸入/輸出區域
15A、15B:鰭式場效電晶體
18、20、22、24:摻雜區域
32A、32B、32C、32D:鰭片
50A、50B、50C、50D:閘極結構
54:閘極間隔
60A、60B、60C、60D:磊晶源極/汲極特徵
82B:金屬閘極
90:層間介電層
92A-92J:元件級接觸
B-B、C-C、D-D、E-E:線
X、Y、Z:方向

Claims (9)

  1. 一種積體電路元件,包含:一第一多鰭片結構,具有一第一通道區域,該第一通道區域配置於一第一源極區域與一第一汲極區域之間;一第二多鰭片結構,具有一第二通道區域,該第二通道區域配置於一第二源極區域與一第二汲極區域之間;一第一閘極結構,橫跨該第一多鰭片結構,使得該第一閘極結構配置於該第一通道區域之上;一第二閘極結構,橫跨該第二多鰭片結構,使得該第二閘極結構配置於該第二通道區域之上;其中該第一閘極結構包含一第一閘極介電層,該第一閘極介電層具有一第一厚度,該第二閘極結構包含一第二閘極介電層,該第二閘極介電層具有一第二厚度,該第一厚度大於該第二厚度;其中該第一多鰭片結構在該第一通道區域具有一第一間距,該第二多鰭片結構在該第二通道區域具有一第二間距,該第一間距大於該第二間距;以及其中該第一厚度與該第二厚度的一第一比例為約1.3至約1.8,該第一間距與該第二間距的一第二比例為約1.05至約1.15,使得配置於該第一多鰭片結構的相鄰鰭片上的該第一閘極介電層之間的一第一間隔實質上與配置於該第二多鰭片結構的相鄰鰭片上的該第二閘極介電層之間的一第二間隔相同。
  2. 如請求項1所述之積體電路元件,其中該 第一多鰭片結構的一寬度小於該第二多鰭片結構的一寬度。
  3. 如請求項1所述之積體電路元件,更包含一輸入/輸出區域及一核心區域,其中該第一多鰭片結構及該第一閘極結構為配置於該輸入/輸出區域中的一電晶體的一部分,該第二多鰭片結構及該第二閘極結構為配置於該核心區域中的一電晶體的一部分。
  4. 如請求項1所述之積體電路元件,更包含一第一磊晶源極/汲極特徵及一第二磊晶源極/汲極特徵,該第一磊晶源極/汲極特徵配置於該第一源極區域及該第一汲極區域之上,而該第二磊晶源極/汲極特徵配置於該第二源極區域及該第二汲極區域之上,其中該第一磊晶源極/汲極特徵部分合併,而該第二磊晶源極/汲極特徵完全合併。
  5. 一種積體電路元件,包含:一第一鰭式場效電晶體,包含一第一閘極結構,該第一閘極結構橫跨複數個第一鰭片,其中該第一閘極結構包含一第一閘極介電層及一第一閘極電極,該第一閘極介電層具有一第一厚度;一第二鰭式場效電晶體,包含一第二閘極結構,該第二閘極結構橫跨複數個第二鰭片,其中該第二閘極結構包含一第二閘極介電層及一第二閘極電極,該第二閘極介電 層具有一第二厚度;其中該第一厚度大於該第二厚度;以及其中該第一厚度與該第二厚度的一第一比例為約1.3至約1.8,該第一間距與該第二間距的一第二比例為約1.05至約1.15,使得配置於相鄰的該些第一鰭片之上的該第一閘極介電層之間的一第一間隔實質上與配置於相鄰的該些第二鰭片之上的該第二閘極介電層之間的一第二間隔相同。
  6. 如請求項5所述之積體電路元件,其中該些第一鰭片的一上部鰭片主動區域的一上部分的寬度比該些第二鰭片的一上部鰭片主動區域的一上部分的寬度小。
  7. 一種積體電路元件的製作方法,包含:形成一第一多鰭片結構及一第二多鰭片結構,該第一多鰭片結構在一第一通道區域具有一第一間距,該第二多鰭片結構在一第二通道區域具有一第二間距,其中該第一間距大於該第二間距,該第一間距與該第二間距的一第二比例為約1.05至約1.15;形成一第一閘極結構於該第一多鰭片結構的該第一通道區域之上,其中該第一閘極結構包含一第一閘極介電層,該第一閘極介電層具有一第一厚度;以及形成一第二閘極結構於該第二多鰭片結構的該第二通道區域之上,其中該第二閘極結構包含一第二閘極介電層,該第二閘極介電層具有一第二厚度,該第二厚度小於 該第一厚度,該第一厚度與該第二厚度的一第一比例為約1.3至約1.8。
  8. 如請求項7所述之製作方法,其中:形成該第一閘極結構包含以一第一金屬閘極置換一第一虛設閘極,其中該第一金屬閘極包含該第一閘極介電層及配置於該第一閘極介電層之上的一第一閘極電極;以及形成該第二閘極結構包含以一第二金屬閘極置換一第二虛設閘極,其中該第二金屬閘極包含該第二閘極介電層及配置於該第二閘極介電層之上的第二閘極電極。
  9. 如請求項8所述之製作方法,其中在形成該第一閘極介電層及該第二閘極介電層之後,配置於該第一多鰭片結構的相鄰鰭片上的該第一閘極介電層之間的一第一間隔實質上與配置於該第二多鰭片結構的相鄰鰭片上的該第二閘極介電層之間的一第二間隔相同。
TW107133226A 2018-05-10 2018-09-20 積體電路元件及其製作方法 TWI704691B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/976,664 US10629706B2 (en) 2018-05-10 2018-05-10 Fin and gate dimensions for optimizing gate formation
US15/976,664 2018-05-10

Publications (2)

Publication Number Publication Date
TW201947768A TW201947768A (zh) 2019-12-16
TWI704691B true TWI704691B (zh) 2020-09-11

Family

ID=68336666

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107133226A TWI704691B (zh) 2018-05-10 2018-09-20 積體電路元件及其製作方法

Country Status (5)

Country Link
US (2) US10629706B2 (zh)
KR (1) KR102177664B1 (zh)
CN (1) CN110473833B (zh)
DE (1) DE102018113843B4 (zh)
TW (1) TWI704691B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110416296B (zh) * 2018-04-26 2021-03-26 苏州能讯高能半导体有限公司 半导体器件、半导体芯片及半导体器件制作方法
US11728335B2 (en) * 2019-01-25 2023-08-15 Intel Corporation Buried channel structure integrated with non-planar structures
US11646305B2 (en) * 2019-10-02 2023-05-09 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US11916134B2 (en) * 2020-01-02 2024-02-27 Nexgen Power Systems, Inc. Regrowth uniformity in GaN vertical devices
US11121138B1 (en) * 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
KR20210134151A (ko) * 2020-04-29 2021-11-09 삼성전자주식회사 반도체 장치
DE102021103461A1 (de) * 2020-04-30 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-isolation für multigate-vorrichtung
US11804485B2 (en) * 2021-04-09 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US20220367460A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid cell-based device, layout, and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9397006B1 (en) * 2015-12-04 2016-07-19 International Business Machines Corporation Co-integration of different fin pitches for logic and analog devices
US20170148681A1 (en) * 2015-11-23 2017-05-25 International Business Machines Corporation Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
US9691664B1 (en) * 2016-06-01 2017-06-27 Globalfoundries Inc. Dual thick EG oxide integration under aggressive SG fin pitch
US20170309624A1 (en) * 2016-04-25 2017-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods of forming finfets

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002176114A (ja) * 2000-09-26 2002-06-21 Toshiba Corp 半導体装置及びその製造方法
US7115947B2 (en) * 2004-03-18 2006-10-03 International Business Machines Corporation Multiple dielectric finfet structure and method
US7382023B2 (en) * 2004-04-28 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fully depleted SOI multiple threshold voltage application
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8519481B2 (en) * 2009-10-14 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in STI regions for forming bulk FinFETs
US8373238B2 (en) * 2009-12-03 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple Fin heights
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
CN102768957B (zh) * 2011-05-06 2016-09-14 中国科学院微电子研究所 鳍式场效应晶体管及其制造方法
US9041115B2 (en) 2012-05-03 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for FinFETs
US8610241B1 (en) * 2012-06-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Homo-junction diode structures using fin field effect transistor processing
KR101953240B1 (ko) * 2012-09-14 2019-03-04 삼성전자 주식회사 핀 트랜지스터 및 이를 포함하는 반도체 집적 회로
KR102021768B1 (ko) * 2013-03-15 2019-09-17 삼성전자 주식회사 반도체 장치의 제조 방법 및 그 방법에 의해 제조된 반도체 장치
US9472651B2 (en) 2013-09-04 2016-10-18 Globalfoundries Inc. Spacerless fin device with reduced parasitic resistance and capacitance and method to fabricate same
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9236269B2 (en) * 2014-04-23 2016-01-12 Globalfoundries Inc. Field effect transistor (FinFET) device with a planar block area to enable variable Fin pitch and width
US10164108B2 (en) * 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9595475B2 (en) * 2014-12-01 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stage fin formation methods and structures thereof
US9613953B2 (en) 2015-03-24 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor device layout, and method of manufacturing semiconductor device
KR102460718B1 (ko) * 2015-05-28 2022-10-31 삼성전자주식회사 집적회로 소자
US9570555B1 (en) * 2015-10-29 2017-02-14 International Business Machines Corporation Source and drain epitaxial semiconductor material integration for high voltage semiconductor devices
US9786788B1 (en) * 2016-07-07 2017-10-10 Globalfoundries Inc. Vertical-transport FinFET device with variable Fin pitch
US9853131B1 (en) 2016-07-12 2017-12-26 International Business Machines Corporation Fabrication of an isolated dummy fin between active vertical fins with tight fin pitch
JP2018073971A (ja) 2016-10-28 2018-05-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170148681A1 (en) * 2015-11-23 2017-05-25 International Business Machines Corporation Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
US9397006B1 (en) * 2015-12-04 2016-07-19 International Business Machines Corporation Co-integration of different fin pitches for logic and analog devices
US20170309624A1 (en) * 2016-04-25 2017-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods of forming finfets
US9691664B1 (en) * 2016-06-01 2017-06-27 Globalfoundries Inc. Dual thick EG oxide integration under aggressive SG fin pitch

Also Published As

Publication number Publication date
US10629706B2 (en) 2020-04-21
CN110473833A (zh) 2019-11-19
DE102018113843A1 (de) 2019-11-14
US20200091320A1 (en) 2020-03-19
US11670703B2 (en) 2023-06-06
CN110473833B (zh) 2021-10-29
KR102177664B1 (ko) 2020-11-12
DE102018113843B4 (de) 2020-12-31
US20190348527A1 (en) 2019-11-14
TW201947768A (zh) 2019-12-16
KR20190129658A (ko) 2019-11-20

Similar Documents

Publication Publication Date Title
TWI704691B (zh) 積體電路元件及其製作方法
US11004747B2 (en) Fin critical dimension loading optimization
US11367663B2 (en) Interconnect structure for fin-like field effect transistor
US11410877B2 (en) Source/drain contact spacers and methods of forming same
US11322410B2 (en) Threshold voltage tuning for fin-based integrated circuit device
KR102496147B1 (ko) 멀티게이트 디바이스를 위한 p 금속 게이트 우선 게이트 대체 공정
KR20190058329A (ko) 게이트 유전체 보존 게이트 컷 프로세스
US11908866B2 (en) Gate structures having neutral zones to minimize metal gate boundary effects and methods of fabricating thereof
TWI727505B (zh) 積體電路裝置及其製造方法
CN111223859A (zh) 半导体装置及其制造方法
TW202145348A (zh) 半導體裝置及其形成方法
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
TWI827252B (zh) 半導體結構及其形成方法
US20220320307A1 (en) Source and Drain Enginering Process for Multigate Devices
TWI812982B (zh) 多重閘極裝置及其製造方法